Praca dyplomowa inżynierska

20
Praca dyplomowa inżynierska PROJEKT ORAZ BUDOWA URZĄDZENIA DO ŚLEDZENIA SŁOŃCA WYKORZYSTYWANEGO DO ŁADOWANIA AKUMULATORÓW KAO Promotor dr inż. Krystyna Maria Noga Dyplomanci : Jan Grabe Jacek Mueller

description

Praca dyplomowa inżynierska. PROJEKT ORAZ BUDOWA URZĄDZENIA DO ŚLEDZENIA SŁOŃCA WYKORZYSTYWANEGO DO ŁADOWANIA AKUMULATORÓW. Dyplomanci : Jan Grabe Jacek Mueller. KAO. Promotor dr inż. Krystyna Maria Noga. CEL PRACY. - PowerPoint PPT Presentation

Transcript of Praca dyplomowa inżynierska

Page 1: Praca dyplomowa inżynierska

Praca dyplomowa inżynierska

PROJEKT ORAZ BUDOWA URZĄDZENIA DO ŚLEDZENIA

SŁOŃCA WYKORZYSTYWANEGO DO ŁADOWANIA AKUMULATORÓW

KAOPromotor dr inż. Krystyna Maria Noga

Dyplomanci : Jan Grabe Jacek Mueller

Page 2: Praca dyplomowa inżynierska

2

CEL PRACYCelem pracy dyplomowej inżynierskiej było:

zaprojektowanie i realizacja urządzenia do śledzenia słońca wykorzys-tywanego do ładowania akumulatorów,

opracowanie i uruchomienie programu sterującego obrotnicą panelu fotowoltaicznego,

zoptymalizowanie procesu ładowania akumulatorów współpracujących z panelami fotowoltaicznymi.

Wykorzystano:

zestaw laboratoryjny DE_2 firmy Terasic,

środowisko Quartus II firmy Altera oraz język programowania sprzętu VHDL (edytor tekstowy oraz graficzny),

żarnik halogenowy jako źródło światła, umocowany do wysięgnika obrotnicy naśladującej ruch słońca w zadanym czasie.

Page 3: Praca dyplomowa inżynierska

3

PRZYKŁADOWE ROZWIĄZANIA

Page 4: Praca dyplomowa inżynierska

4

PRZYKŁADOWE ROZWIĄZANIA cd.

Page 5: Praca dyplomowa inżynierska

SCHEMAT BLOKOWY SYSTEMU

OBROTNICA„SŁOŃCA”

INTERFEJS

OBROTNICAZ PANELEM

FOTOWOLTAICZNYM

KOMPUTER CZUJNIKI

ALTERA DE2 ADC

OBCIĄŻENIE

AKUMULATOR

REGULATOR

Page 6: Praca dyplomowa inżynierska

6

Dodatkowe elementy składowe

moduł przetwornika z ADC 0808 z adapterem,

moduł panelu fotowoltaicznego,

czujnik położenia słońca,

obrotnica panelu ,

akumulator z regulatorem prądu ładowania i rozładowania oraz obciążenie,

płyta bazowa wraz z elementami mocującymi oraz okablowanie,

obrotnica symulatora Słońca,

zasilacz, sterowanie obrotnicy symulatora Słońca,

Interfejs współpracy zestawu laboratoryjnego DE_2 z obrotnicą panelu.

Page 7: Praca dyplomowa inżynierska

7

Wykonane prace

wybór panelu fotowoltaicznego, dopasowanie akumulatora, regulatora,

dobór obrotnic i elementów sterujących obrotnicami,

scalenie urządzenia ,

napisanie oprogramowania sterującego,

wykonanie symulacji pracy urządzenia,

poprawa oprogramowania (wprowadzenie progu uaktywnienia

sterowania, zmniejszenie czułości, wyświetlanie napięć z

„przecinkiem”),

Page 8: Praca dyplomowa inżynierska

8

Do konwersji sygnałów zastosowano przetwornik ADC firmy SLS

Płytka układu adaptera do ADC 0808

Płytka układu ADC 0808

Page 9: Praca dyplomowa inżynierska

9

OBROTNICE „SŁOŃCA” I PANELU FOTOWOLTAICZNEGO

Page 10: Praca dyplomowa inżynierska

10

KONCEPCJA KONSTRUKCJI• SŁOŃCE

„SŁOŃCE”

Page 11: Praca dyplomowa inżynierska

11

UKŁAD ELEKTRONICZNY

Page 12: Praca dyplomowa inżynierska

12

Pierwotna konstrukcja urządzenia

SYMULATOR SŁOŃCA

PANEL FOTOWOLTAICZNY

OBROTNICA PANELU FOTOWOLTAICZNEGO

AKUMULATOROBROTNICA SYMULATORA SŁOŃCAREGULATOR PRĄDU I NAPIĘCIA AKUMULATORA

STEROWNIK SILNIKÓW

ALTERA DE_2

Page 13: Praca dyplomowa inżynierska

KONSTRUKCJA URZĄDZENIAWersja ostateczna urządzenia zapewniająca bezkolizyjny ruch panelu i odsunięta obrotnica „słońca” z możliwością symulowania pory roku (ręczna nastawa „zima”, „lato”).

Page 14: Praca dyplomowa inżynierska

14

Czujniki

wykonane z fotorezystorów z potencjometryczną regulacją równowagi mostka

Page 15: Praca dyplomowa inżynierska

15

OPRACOWANIE OPROGRAMOWANIA STERUJĄCEGO

Przykładowy kod licznika lpm z biblioteki komponentów środowiska Quartus

component LPM_COUNTER        generic (LPM_WIDTH : natural;    -- Musi być większa niż zero                                 LPM_MODULUS : natural := 0;                                 LPM_DIRECTION : string := "UNUSED";--Niewykorzystany                                 LPM_AVALUE : string := "UNUSED"; --Niewykorzystany                                 LPM_SVALUE : string := "UNUSED"; --Niewykorzystany                                 LPM_PORT_UPDOWN : string := "PORT_CONNECTIVITY";                                 LPM_PVALUE : string := "UNUSED"; --Niewykorzystany                                 LPM_TYPE: string := L_COUNTER;                                 LPM_HINT : string := "UNUSED"); --Niewykorzystany          port (DATA : in std_logic_vector(LPM_WIDTH-1 downto 0):= (OTHERS => '0');                          CLOCK : in std_logic ; --wejście binarne zegara                           CLK_EN : in std_logic := '1'; --włączenie zegara                          CNT_EN : in std_logic := '1'; --umorzliwienie zliczania                          UPDOWN : in std_logic := '1'; --wybór zliczania góra/dół                          SLOAD : in std_logic := '0'; --załad. synch. wartości do licznika                          SSET : in std_logic := '0'; --ustawienie synch. stanu licznika                          SCLR : in std_logic := '0'; --wyzerowanie synch. licznika                          ALOAD : in std_logic := '0'; --asynch. załąd. wartości do licznika                          ASET : in std_logic := '0'; --asynch. ustawienie wartości licznika                          ACLR : in std_logic := '0'; --asynch. zerowanie licznika              CIN : in std_logic := '1'; --wejście logiczne C                          COUT : out std_logic := '0'; --wyjście logiczne C              Q : out std_logic_vector(LPM_WIDTH-1 downto 0); --wektor wyjściowy(szerokość-1 do zera)              EQ : out std_logic_vector(15 downto 0));end component;

Page 16: Praca dyplomowa inżynierska

SCHEMAT BLOKOWY STEROWANIA

Page 17: Praca dyplomowa inżynierska

17

PODSUMOWANIE

Opracowanie projektu oparto na zestawie laboratoryjnym DE_2 firmy ALTERA oraz

na ogólnodostępnych na rynku podzespołach zapewniających wymaganą trwałość i estetyczny wygląd urządzenia do śledzenia słońca.

Do realizacji programu sterującego wykorzystano środowisko Quartus i język VHDL.

Program sterujący został zaimplementowany w układzie FPGA firmy Altera.

Opracowany i wykonany model zostanie wykorzystany jako stanowisko dydaktyczne do ćwiczeń w laboratorium Techniki Cyfrowej.

Dobór elementów był podyktowany wielkością urządzenia (panel fotowoltaiczny) i wartościami parametrów (dopasowanie użytych elementów do panelu).

Podstawą opracowania był monokrystaliczny panel fotowoltaiczny o mocy 20W używany do małych zestawów autonomicznych, np. (jachty, domki letniskowe, sygnalizacja świetlna).

Page 18: Praca dyplomowa inżynierska

18

PODSUMOWANIE cd.

Możliwe jest optymalizowanie punktu pracy panelu fotowoltaicznego ukierunkowane na maksymalną moc pozyskiwaną z panelu. Ze względu na szeroki zakres wykonanych prac nie podjęto tej próby.

Po zastosowaniu pomiaru prądu panelu fotowoltaicznego i zastosowaniu modułu regulacji tego prądu można wymuszać punkt pracy panelu fotowoltaicznego ukierunkowany na maksymalną moc pozyskiwaną z panelu.

Przetwornik ADC posiada niewykorzystane dwa wejścia analogowe, które można wykorzystać do pomiaru prądów.

Page 19: Praca dyplomowa inżynierska

19

WNIOSKI KOŃCOWE

W trakcie realizacji projektu wykonano pięć wersji opracowywanego układu sterowania

W wyniku przeprowadzonych prób i eksperymentów opracowywanego układu sterowania powstała optymalna wersja programu sterowania solar_5

Przeprowadzone próby wykazały poprawność działania układu sterowania

zgodnie z założeniami projektowymi Symulator Słońca generuje niewiele energii w panelu, ale

umożliwia przeprowadzenie poprawnej symulacji Celowa jest budowa układów nadążnych (pokazano w

rozdziale prezentującym inne rozwiązania)

Page 20: Praca dyplomowa inżynierska

20

DZIĘKUJEMY ZA UWAGĘ