ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania...

183
PRACE IPPT · IFTR REPORTS 3/2009 Marcin Lewandowski ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W CZASIE RZECZYWISTYM INSTYTUT PODSTAWOWYCH PROBLEM ´ OW TECHNIKI POLSKIEJ AKADEMII NAUK WARSZAWA 2009 http://rcin.org.pl

Transcript of ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania...

Page 1: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

PRACE IPPT · IFTR REPORTS 3/2009

Marcin Lewandowski

ULTRASONOGRAFIA KODOWANA:TRANSMISJA I KOMPRESJA W CZASIERZECZYWISTYM

INSTYTUT PODSTAWOWYCH PROBLEMOW TECHNIKI

POLSKIEJ AKADEMII NAUK

WARSZAWA 2009

http://rcin.org.pl

Page 2: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

ISBN 978-83-89687-52-4ISSN 0208-5058

Redaktor Naczelny:Prof. dr hab. Zbigniew Kotulski

Praca doktorska pod kierunkiem:Prof. dr hab. Andrzeja Nowickiego

Recenzenci:Prof. dr hab. Tadeusz PałkoDr hab. Krzysztof Marasek

Praca wpłyneła do redakcji 21.12.2009

Praca doktorska

Instytut Podstawowych Problemów Techniki PAN

Nakład 100 egz. Ark. druk. 11,5

Oddano do druku 15.01.2010

Druk i oprawa: Drukarnia Braci Grodzickich, ul. Geodetów 47A, Piaseczno

http://rcin.org.pl

Page 3: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Spis tresci

Streszczenie 7

Abstract 9

Podziekowania 11

Spis rysunków 12

Spis tabel 18

1. Wstep 21

1.1. Temat pracy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211.2. Cel pracy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241.3. Ultrasonografia wysokiej czestotliwosci . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

1.3.1. Ograniczenia poziomów nadawania . . . . . . . . . . . . . . . . . . . . . . . . . 261.3.2. Zastosowania . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

1.3.2.1. Dermatologia . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291.3.2.2. Aparaty komercyjne . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321.3.2.3. Oftalmologia . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321.3.2.4. Inne zastosowania . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

1.3.3. Podsumowanie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

2. Transmisja kodowana 37

2.1. Sygnały kodowane . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372.1.1. Rodzaje kodów . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

2.1.1.1. Sygnał chirp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402.1.1.2. Kody Barkera . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 412.1.1.3. Kody Golaya . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422.1.1.4. Inne kody . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

2.1.2. Filtracja dopasowana . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 452.2. Sygnały kodowane w ultrasonografii . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

2.2.1. Aspekty techniczne . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482.2.2. Wpływ pasma głowicy na sygnały kodowane . . . . . . . . . . . . . . . . . . . 502.2.3. Rozkłady pola dla pobudzen kodowanych . . . . . . . . . . . . . . . . . . . . . 512.2.4. Podsumowanie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

http://rcin.org.pl

Page 4: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4 Spis tresci

3. Układy i systemy cyfrowego przetwarzania sygnałów 57

3.1. Systemy cyfrowego przetwarzania sygnałów . . . . . . . . . . . . . . . . . . . . . . . . 583.1.1. Programowalne układy logiczne . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

3.1.1.1. Architektura wewnetrzna FPGA . . . . . . . . . . . . . . . . . . . . . . . 603.1.1.2. Projektowanie układów FPGA . . . . . . . . . . . . . . . . . . . . . . . . 633.1.1.3. Zastosowania FPGA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

3.1.2. Procesory sygnałowe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 703.1.2.1. Architektura DSP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 713.1.2.2. Zastosowania DSP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

3.1.3. Procesory uniwersalne . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 733.1.3.1. Jednostki wektorowe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

3.1.4. Procesory graficzne . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 773.1.4.1. Potok przetwarzania 3D . . . . . . . . . . . . . . . . . . . . . . . . . . . . 783.1.4.2. Architektura GPU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 803.1.4.3. Wydajnosc GPU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 833.1.4.4. Jezyki programowania GPU . . . . . . . . . . . . . . . . . . . . . . . . . . 83

3.2. Układy przetwarzania analogowo-cyfrowego . . . . . . . . . . . . . . . . . . . . . . . 843.2.1. Zasada działania przetworników A/C . . . . . . . . . . . . . . . . . . . . . . . . 843.2.2. Architektura przetworników A/C . . . . . . . . . . . . . . . . . . . . . . . . . . . 853.2.3. Specyfikacja przetworników A/C . . . . . . . . . . . . . . . . . . . . . . . . . . . 88

3.2.3.1. Parametry statyczne . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 883.2.3.2. Parametry dynamiczne . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 893.2.3.3. Jitter w układach przetwarzania A/C . . . . . . . . . . . . . . . . . . . 93

3.2.4. Pomiary układów przetworników . . . . . . . . . . . . . . . . . . . . . . . . . . . 943.2.4.1. Pomiar parametrów dynamicznych metoda FFT . . . . . . . . . . . 95

3.3. Budowa ultrasonografu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 963.3.1. Tor przetwarzania sygnału w ultrasonografii obrazowej . . . . . . . . . . . . 963.3.2. Technologie cyfrowe w polskich aparatach . . . . . . . . . . . . . . . . . . . . 97

4. Projekt systemu 101

4.1. Architektura systemu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1014.1.1. Tor przetwarzania ultrasonografu z transmisja kodowana . . . . . . . . . . 1014.1.2. Analiza rozwiazan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102

4.2. Moduł kodera-digitizera . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1064.2.1. Przetwornik analogowo-cyfrowy . . . . . . . . . . . . . . . . . . . . . . . . . . . 1074.2.2. Przetworniki cyfrowo-analogowe . . . . . . . . . . . . . . . . . . . . . . . . . . . 1094.2.3. Układ FPGA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110

4.2.3.1. Projekt wewnetrzny układu FPGA . . . . . . . . . . . . . . . . . . . . . 1104.2.4. Układ zegara . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1134.2.5. Interfejs USB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114

4.2.5.1. Budowa i cechy kontrolera FX2 . . . . . . . . . . . . . . . . . . . . . . . 1144.2.5.2. Oprogramowanie kontrolera interfejsu USB . . . . . . . . . . . . . . 115

4.2.6. Zasilanie modułu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1164.3. Analogowe układy wej./wyj. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116

http://rcin.org.pl

Page 5: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Spis tresci 5

4.3.1. Wzmacniacz mocy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116

4.3.2. Wzmacniacz odbiorczy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

4.4. Układy zasilania . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

4.5. Panel regulacyjny . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

4.5.1. Budowa panelu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118

4.6. Głowica ultradzwiekowa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119

4.6.1. Przetwornik ultradzwiekowy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120

4.6.2. Geometria głowicy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121

4.7. Oprogramowanie sterujace i przetwarzajace . . . . . . . . . . . . . . . . . . . . . . . 122

4.7.1. Architektura strumieniowa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123

4.7.2. Przetwarzanie cyfrowe CPU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125

4.7.2.1. Modelowy algorytm przetwarzania . . . . . . . . . . . . . . . . . . . . 125

4.7.2.2. Zoptymalizowany algorytm przetwarzania . . . . . . . . . . . . . . . 126

4.7.2.3. Detekcja obwiedni . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126

4.7.3. Przetwarzanie cyfrowe GPU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128

4.7.3.1. Konwersja geometrii sektora . . . . . . . . . . . . . . . . . . . . . . . . . 129

4.7.3.2. Funkcje pre- i post-processingu . . . . . . . . . . . . . . . . . . . . . . . 133

4.7.3.3. Wyswietlanie i reprodukcja odcieni . . . . . . . . . . . . . . . . . . . . 133

4.7.4. Oprogramowanie uzytkowe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

4.8. Podsumowanie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139

5. Wyniki 141

5.1. Testy modułu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141

5.1.1. Komunikacja moduł ↔ komputer PC . . . . . . . . . . . . . . . . . . . . . . . . 141

5.1.2. Parametry systemu akwizycji . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142

5.1.3. Weryfikacja w petli elektrycznej . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144

5.1.4. Sygnały od reflektora w wodzie . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144

5.1.5. Geometria systemu obrazujacego . . . . . . . . . . . . . . . . . . . . . . . . . . . 146

5.1.6. Testy oprogramowania przetwarzania i wizualizacji . . . . . . . . . . . . . . 147

5.1.6.1. Wydajnosc toru przetwarzania i wyswietlania . . . . . . . . . . . . . 148

5.1.6.2. Wydajnosc scan-convertera . . . . . . . . . . . . . . . . . . . . . . . . . 148

5.2. Porównanie kodów . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149

5.2.1. Rozdzielczosc podłuzna i stosunek sygnał-szum . . . . . . . . . . . . . . . . 149

5.2.2. Badania in vivo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151

5.2.2.1. Porównanie z mikrosonografem z 1998 . . . . . . . . . . . . . . . . . . 151

5.3. Zastosowania kliniczne . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153

5.3.1. Badania cellulitu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153

5.3.2. Pomiary grubosci kompleksu intima-media . . . . . . . . . . . . . . . . . . . . 154

http://rcin.org.pl

Page 6: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

6 Spis tresci

6. Podsumowanie 157

6.1. Systemy elektroniczne . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1586.2. Systemy przetwarzania . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1596.3. Rozbudowa i inne aplikacje systemu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160

6.3.1. Rozbudowa systemu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1606.3.2. Inne aplikacje . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1636.3.3. Perspektywy rozwoju ultrasonografii wysokiej czestotliwosci . . . . . . . . 164

6.4. Mozliwosci komercjalizacji ultrasonografu . . . . . . . . . . . . . . . . . . . . . . . . . 1646.4.1. Zintegrowane głowice USB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1656.4.2. Optymalizacja systemu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165

6.4.2.1. Minimalizacja poboru pradu . . . . . . . . . . . . . . . . . . . . . . . . . 1666.4.2.2. Minimalizacja rozmiarów . . . . . . . . . . . . . . . . . . . . . . . . . . . 166

Schemat ideowy systemu 167

Bibliografia 175

http://rcin.org.pl

Page 7: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Streszczenie

Techniki ultradzwiekowe posiadaja inherentne ograniczenie wiazace rozdzielczosci głebokosc obrazowania. Wzrost rozdzielczosci wymaga zwiekszenia czestotliwo-sci fali, co automatycznie powoduje zwiekszenie tłumienia i ograniczenie zakresuobrazowania. Dla zastosowan wymagajacych duzej rozdzielczosci (dermatologia,oftalmologia) stosowana jest ultrasonografia wysokiej czestotliwosci w zakresie 20–50 MHz, a głebokosc obrazowania ograniczona jest do 3–7 mm. Metoda transmisjikodowanej, polegajaca na transmisji długich sygnałów nadawczych charakteryzu-jacych sie szczególna funkcja autokorelacji, pozwala na złamanie tego ogranicze-nia. Zwiekszenie całkowitej energii sygnału nadawanego przez jego wydłuzenie, bezzwiekszania szczytowego cisnienia (ograniczonego wzgledami bezpieczenstwa) po-zwala poprawic stosunek sygnał-szum oraz zwiekszyc głebokosc obrazowania.

Celem pracy było opracowanie i budowa modelu ultrasonografu wysokiej cze-stotliwosci z transmisja kodowana. Główna motywacja opracowania było uzyska-nie obrazowania w czasie rzeczywistym umozliwiajacego badanie róznych typówprzebiegów kodowanych w warunkach laboratoryjnych oraz klinicznych. W ramachpracy został opracowany moduł elektroniczny kodera-digitizera realizujacy funkcjearbitralnego generatora nadawczych sygnałów kodowanych oraz digitizera odbie-ranych ech ultradzwiekowych. Moduł zrealizowano w oparciu o najnowoczesniej-sze układy elektroniczne, zapewniajac czestotliwosci próbkowania 200 MHz i trans-fer do komputera PC cyfrowych sygnałów ech w.cz. przez interfejs USB w czasie rze-czywistym. Autor zrealizował w pełni softwarowy strumieniowy algorytm cyfrowegoprzetwarzania sygnału w.cz. ech. Dzieki zaproponowanej zbalansowanej architek-turze przetwarzania, polegajacej na podziale zadan obliczeniowych pomiedzy pro-cesor główny komputera i procesor graficzny, całosc przetwarzania i wizualizacjiodbywa sie w czasie rzeczywistym na komputerze PC z predkoscia do 10 obrazów/s.W pracy przedstawiono wyniki eksperymentalne dla róznych typów pobudzen ko-dowanych (chirp, kody Barkera, kody Golaya) oraz porównano je z klasycznymi po-budzeniami krótkim impulsem.

Zastosowanie transmisji kodowanej znaczaco poprawiło jakosc obrazu dziekizwiekszeniu stosunku sygnał-szum. Umozliwiło takze zwiekszenie rozdzielczosci

http://rcin.org.pl

Page 8: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

8 Streszczenie

(czestotliwosci ultradzwiekowej) przy zachowaniu głebokosci obrazowania (z 20do 35 MHz). Opracowany system ultrasonografu dzieki niewielkim rozmiarom orazwykorzystaniu notebooka jest w pełni przenosny. Uniwersalnosc i łatwosc modyfi-kacji algorytmów przetwarzania predysponuja go takze do innych aplikacji akwizy-cji i przetwarzania sygnałów. System pozwoli na realizacje dalszych badan porów-nawczych oraz optymalizacje sygnałów kodowanych. Ponadto praktyczny systemz przetwarzaniem i wizualizacja w czasie rzeczywistym daje mozliwosc ewaluacjii badan przez lekarzy, co jak wiadomo jest elementem koniecznym dla sprzetu dodiagnostyki medycznej.

http://rcin.org.pl

Page 9: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Abstract

Ultrasonic techniques have intrinsic limitation relating resolution with depth ofvisualization. The increase in resolution requires an increase in wave frequency,which automatically increases the attenuation and decreases visualization depth.For applications requiring high resolution (dermatology, ophthalmology) high-frequency ultrasound, in the 20–50 MHz range, is used, while the depth of visualiza-tion is limited to 3–7 mm. The method of coded transmission, which consists of thetransmission of long waveforms characterized by a particular autocorrelation func-tion, allows to break this restriction. The increase of total energy of the transmittedsignal by its extension, without increasing the peak pressure (limited by safety re-asons) can improve signal to noise ratio and increase the depth of visualization.

The aim of this study was to develop and build a model of high-frequency ul-trasonograph with coded transmissions. The main motivation of the project was areal-time visualization which allows the testing of different types of coded signals inthe laboratory and clinical conditions. The electronic module of the coder-digitizer,which performs function as an arbitrary generator for transmission coded signals,as well as the digitizer of the ultrasonic echoes have been developed. The modulehas been implemented using the latest electronic systems, providing the samplingfrequency of 200 MHz and the transfer of digital high frequency signal echoes thro-ugh the USB interface to a PC computer in real time. The author has realized thesoftware only streaming algorithm of digital processing of high frequency echo si-gnals. Due to the proposed balanced processing architecture, which consists of thedistribution of computational tasks between the main processor of the computerand the graphic processor, the whole processing and visualization is performed inreal time in the PC computer with velocity of 10 images/s. The work presents theexperimental results for different types of coded signals (chirp, Barker codes, Golaycodes). They were compared with the classical stimulations with short pulses.

Application of the coded transmission has significantly improved the image qu-ality by increasing the signal-noise ratio. Also made it possible to increase the re-solution (frequency ultrasonic) at a depth of visualization (from 20 to 35 MHz). Thedeveloped ultrasonograph system by its small size and use of a notebook is fully

http://rcin.org.pl

Page 10: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

10 Abstract

portable. Versatility and simplicity of the processing algorithm modification alsopredispose it to other applications of acquisition and signal processing. The sys-tem will enable the further comparative studies and optimization of coded signals.In addition, the practical system for processing and visualization in real time ena-bles the evaluation and examination by physicians, which, as it is known, are therequirements for modern medical diagnostics equipment.

http://rcin.org.pl

Page 11: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Podzi ekowania

Autor składa serdeczne serdeczne podziekowania dla:

• Profesora Andrzeja Nowickiego — za cierpliwosc i wiare.

• Bogusława Zienkiewicza — za bycie wzorem inzyniera.

• Ryszarda Tymkiewicza — za dotkniecie reka tam gdzie trzeba.

• Ziemowita Klimondy — za góre zjedzonych wspólnie ciastek.

• Przyjaciół z Florencji: profesora Piero Tortoli oraz Stefano Ricci — za pomoci dyskusje.

• WSZYSTKICH pracowników Zakładu Ultradzwieków IPPT PAN — za pomoci wsparcie.

• Małgorzaty i Julki — za korekte pracy.

http://rcin.org.pl

Page 12: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

http://rcin.org.pl

Page 13: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Spis rysunkow

1.1 Budowa skóry (zródło: Wikipedia/www.3dscience.com): 1) por,2) warstwa rogowa naskórka, 3) warstwa ziarnista naskórka, 4) war-stwa kolczasta naskórka, 5) skóra własciwa, 6) ciałka Ruffiniego,7) gruczoł potowy, 8) ciałka Paciniego, 9) włókna nerwowe, 10) mie-sien nastraszajacy włosa, 11) włókna miesniowe, 12) tetnica, 13) zyła,14) cebulka włosa, 15) korzen włosa, 16) gruczoł łojowy, 17) ciałko Me-issnera, 18) trzon włosa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

1.2 Budowa oka (zródło: Wikipedia/Chabacano): 1) komora tylna, 2) ra-bek zebaty, 3) miesien rzeskowy, 4) obwódka rzeskowa, 5) kanałSchlemma, 6) zrenica, 7) przednia komora, 8) rogówka, 9) teczówka,10) kora soczewki, 11) jadro soczewki, 12) ciałko rzeskowe, 13) spo-jówka, 14) miesien skosny dolny, 15) miesien prosty dolny, 16) miesienprosty przysrodkowy, 17) naczynia siatkówki, 18) tarcza nerwu wzro-kowego, 19) opona twarda, 20) tetnica srodkowa siatkówki, 21) zyłasrodkowa siatkówki, 22) nerw wzrokowy, 23) zyła wirowata, 24) otocz-ka, 25) plamka zółta, 26) dołek srodkowy, 27) twardówka, 28) naczy-niówka, 29) miesien prosty górny . . . . . . . . . . . . . . . . . . . . . . . . . . 33

2.1 Zwiazek długosci impulsu z jego spektrum amplitudowym (na pod-stawie [68]) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

2.2 Zaleznosci czasu i czestotliwosci dla sygnału chirp o rosnacej (lewy)i malejacej (prawy) czestotliwosci . . . . . . . . . . . . . . . . . . . . . . . . . . 41

2.3 7-bitowy kod Barkera oraz modulowany nim fazowo sygnał sinuso-idalny (na podstawie [68]) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

2.4 Algorytm kompresji kodów Golaya . . . . . . . . . . . . . . . . . . . . . . . . . 43

2.5 Układ 4-bitowego rejestru LFSR — generatora ciagu MLS o długosci 15 45

2.6 Schemat blokowy układu bezposredniej syntezy cyfrowej DDS (napodstawie [7]) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

http://rcin.org.pl

Page 14: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

14 Spis rysunków

2.7 Schemat systemu do pomiaru rozkładów pól przetworników ultradz-wiekowych . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

2.8 Rozkłady pól cisnienia dla róznych pobudzen kodowanych . . . . . . . . 54

3.1 Uproszczona architektura układu FPGA z rodziny Spartan-3 firmy Xilinx 62

3.2 Metodologia projektowania układów FPGA (na podstawie [136]) . . . . . 64

3.3 Wydajnosc bloków DSP układów Xilinx oraz ich aplikacje [141] . . . . . . 69

3.4 Budowa jadra procesora sygnałowego z rodziny C64+ firmy Texas In-struments (na podstawie [130]) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

3.5 Potok przetwarzania 3D (na podstawie [69]) . . . . . . . . . . . . . . . . . . . 79

3.6 Implementacja GPU potoku przetwarzania 3D (na podstawie [41]) . . . 81

3.7 Architektura procesora graficznego NVIDIA GeForce 8800: M1–8 —multiprocesor, P — jednostka skalarna, T — jednostka teksturowania,L1 — pamiec podreczna pierwszego poziomu, L2 — pamiec podrecz-na drugiego poziomu, FB — interfejs do pamieci, ROP — jednostkaoperacji rastrowych (na podstawie [99]) . . . . . . . . . . . . . . . . . . . . . . 82

3.8 Porównanie wydajnosci procesorów GPU i CPU [3] . . . . . . . . . . . . . . 83

3.9 Funkcja konwersji idealnego 3-bitowego przetwornika A/C (kodowa-nie binarne unipolarne) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85

3.10 Zródła zniekształcen i szumu w układzie przetwornika A/C (na pod-stawie [61]) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

3.11 Budowa przetwornika potokowego na przykładzie 14-bitowego prze-twornika A/C firmy Texas Instruments ADS5474 [129]: A1–3 —wzmacniacze, TH1–3 — układy sledzaco-próbkujace, ADC1–3 —przetworniki A/C, DAC1–2 — przetworniki C/A . . . . . . . . . . . . . . . . . 87

3.12 Zniekształcenia i błedy przetworników A/C (na podstawie [14]) . . . . . 90

3.13 Definicja parametrów dynamicznych na przykładowym widmie FFT(8192 punktów) dla czestotliwosci wejsciowej fi n = 10kHz: A — sygnałpodstawowy, B — pierwsza harmoniczna, C — druga harmoniczna, D— SFDR, E — SINAD, F — sredni poziom szumów (na podstawie [14]) 91

3.14 Definicja jittera apertury próbkowania przetwornika A/C (na podsta-wie [61]) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92

3.15 Zaleznosc efektywnej liczby bitów przetwornika A/C od jittera apertu-ry oraz czestotliwosci próbkowanego sygnału (na podstawie [61]) . . . . 94

3.16 Dynamika widma 4096 punktowego FFT dla idealnego 12-bitowegoprzetwornika A/C (na podstawie [61]) . . . . . . . . . . . . . . . . . . . . . . . 95

3.17 Schemat blokowy toru obrazowego ultrasonografu z analogowym de-modulatorem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

http://rcin.org.pl

Page 15: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Spis rysunków 15

3.18 Schemat blokowy toru obrazowego ultrasonografu z cyfrowym demo-dulatorem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

4.1 Schemat blokowy toru obrazowego ultrasonografu z transmisja kodo-wana . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

4.2 Schemat blokowy opracowanego systemu ultrasonografu z transmi-sja kodowana . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

4.3 Porównanie szybkosci realizacji kompresji kodów (filtracji dopasowa-nej) w dziedzinie czasu i czestotliwosci . . . . . . . . . . . . . . . . . . . . . . 104

4.4 Schemat blokowy modułu kodera-digitizera . . . . . . . . . . . . . . . . . . . 107

4.5 Widok zmontowanej płytki modułu kodera-digitizera . . . . . . . . . . . . 108

4.6 Schemat blokowy układu przetwornika analogowo-cyfrowegoMAX1215 [74] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

4.7 Zalecany układ wejsciowy przetwornika MAX1215 [74] . . . . . . . . . . . 109

4.8 Zaleznosci czasowe próbkowania dla przetwornika MAX1215 [74] . . . . 109

4.9 Schemat modułów VHDL projektu układu FPGA . . . . . . . . . . . . . . . . 112

4.10 Schemat blokowy układu kontrolera interfejsu USB — CypressCY7C68013A [37] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

4.11 Schemat blokowy układów zasilania mikrosonografu . . . . . . . . . . . . . 117

4.12 Widok panelu regulacyjnego USB . . . . . . . . . . . . . . . . . . . . . . . . . . 118

4.13 Schemat blokowy panelu regulacyjnego mikrosonografu . . . . . . . . . . 119

4.14 Widok przetwornika zamocowanego w głowicy . . . . . . . . . . . . . . . . . 120

4.15 Odpowiedz impulsowa przetwornika na 20 MHz . . . . . . . . . . . . . . . . 121

4.16 Kat pomiedzy kolejnymi liniami sektora dla danego numeru linii (sy-gnału z enkodera) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122

4.17 Architektura oprogramowania PC . . . . . . . . . . . . . . . . . . . . . . . . . . 123

4.18 Przetwarzanie softwarowe sygnału w.cz. . . . . . . . . . . . . . . . . . . . . . . 124

4.19 Algorytm kompresji kodów Golaya w dziedzinie czestotliwosci . . . . . . 125

4.20 Algorytm detekcji obwiedni . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125

4.21 Zmodyfikowany algorytm kompresji kodów Golaya i detekcji obwied-ni w dziedzinie czestotliwosci . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127

4.22 Tworzenie sygnału analitycznego dla sygnału rzeczywistego . . . . . . . . 127

4.23 Potok przetwarzania i przepływu danych w procesorze GPU (na pod-stawie [50]) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

4.24 Zasada działania scan-convertera . . . . . . . . . . . . . . . . . . . . . . . . . . 130

4.25 Widok sektora z obrazem testowym dla: (po lewej) interpolacji do naj-blizszego sasiada, (po prawej) interpolacji biliniowej . . . . . . . . . . . . . 130

4.26 Geometria i oznaczenia do interpolacji biliniowej . . . . . . . . . . . . . . . 131

4.27 Tor wyswietlania z buforem ramki "liniowym w jasnosci" . . . . . . . . . . 135

http://rcin.org.pl

Page 16: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

16 Spis rysunków

4.28 Tor wyswietlania z buforem ramki "liniowym w natezeniu" . . . . . . . . 136

4.29 Widok głównego okna kontrolnego aplikacji mikrosonografu: A–Lopis w tekscie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138

5.1 Weryfikacja systemu w petli elektrycznej dla 16-bitowych kodów Go-lay na 20 MHz: a) sygnał nadawczy kod A, b) sygnał nadawczy kod B,c) skompresowany odebrany kod A, d) skompresowany odebranykod B, e) suma skompresowanych kodów A i B, f) obwiednia sumyskompresowanych kodów A i B . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145

5.2 Eksperymentalne sygnały ech od reflektora w wodzie: a) sygnałnadawczy 1 okres sinusoidy na 20 MHz, b) obwiednia sygnału echa,c) widmo mocy sygnału nadawczego, d) widmo mocy odebranego echa 146

5.3 Eksperymentalne sygnały ech od reflektora w wodzie: a) sygnałnadawczy 16-bitowy kod Golaya 20 MHz (jeden z pary), b) odebra-ny sygnał echa od reflektora, c) widmo mocy sygnału nadawczego,d) widmo mocy odebranego echa, e) skompresowany sygnał echa,f) obwiednia skompresowanego sygnału echa . . . . . . . . . . . . . . . . . . 147

5.4 Porównanie stosunku sygnał-szum dla kodów fazowych przy czesto-tliwosci 20 MHz w wodzie i osrodku tłumiacym (agar) . . . . . . . . . . . . 150

5.5 Porównanie rozdzielczosci dla kodów fazowych przy czestotliwosci20 MHz w wodzie i osrodku tłumiacym (agar) . . . . . . . . . . . . . . . . . . 150

5.6 Obrazy w prezentacji B — przekrój podłuzny naczynia w nadgarstku;rozmiar pionowy obrazu 7,6 mm, proporcje obrazu 1:1 . . . . . . . . . . . 152

5.7 Obraz skóry z poprzedniego modelu mikrosonografu z 2000 r. Podział-ka co 1 mm. Zaznaczone warstwy skóry: E — naskórek, D — skóra wła-sciwa, S — tkanka podskórna . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153

5.8 Cellulit 4 stopien. Przed kuracja (górny) — białe strzałki pokazuja wra-stanie tkanki podskórnej w skóre, szare strzałki pokazuja niewielkiobrzek. Po kuracji (dolny) — brak wrastania tkanki podskórnej w skórewłasciwa, nie widac obrzeku . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

5.9 Przekrój podłuzny naczynia w dłoni. Srednica naczynia w srodkowejczesci ok. 1,1 mm, widoczna przy krawedzi naczynia warstwa intima-media wynosi ok. 0,13 mm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156

6.1 Widok kompletnego systemu mikrosonografu . . . . . . . . . . . . . . . . . 158

2 Schemat ideowy modułu kodera-digitizera — układ interfejsu USB . . . 168

3 Schemat ideowy modułu kodera-digitizera — układ FPGA . . . . . . . . . 169

4 Schemat ideowy modułu kodera-digitizera — układ przetwornika A/C 170

http://rcin.org.pl

Page 17: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Spis rysunków 17

5 Schemat ideowy modułu kodera-digitizera — układ przetwornika C/A 1716 Schemat ideowy modułu kodera-digitizera — układy zasilania . . . . . . 1727 Schemat ideowy wzmacniacza mocy nadajnika (autor: Bogusław

Zienkiewicz) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1738 Schemat ideowy wzmacniacza odbiorczego (autor: Ryszard Tymkie-

wicz) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

http://rcin.org.pl

Page 18: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

http://rcin.org.pl

Page 19: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Spis tabel

1.1 Rodzaje i modele indeksu termicznego . . . . . . . . . . . . . . . . . . . . . . 291.2 Rozmiary struktur skóry [131] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

2.1 Charakterystyka kompresji czasowej dla wybranych okien amplitudo-wych [52, 15] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

2.2 Kody Barkera [68] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

3.1 Parametry wybranych układów z rodziny Xilinx Spartan3 [138] . . . . . . 61

4.1 Wykorzystanie zasobów FPGA w projekcie kodera-digitizera . . . . . . . . 113

5.1 Parametry FFT do obliczen ENOB przetwornika A/C . . . . . . . . . . . . . 1435.2 Predkosc przetwarzania i wyswietlania (liczba obrazów/s) . . . . . . . . . 1485.3 Porównanie rozdzielczosci i stosunku sygnał-szum dla kodów fazo-

wych przy czestotliwosci 20 MHz w wodzie i osrodku tłumiacym . . . . . 151

http://rcin.org.pl

Page 20: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

http://rcin.org.pl

Page 21: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

1

Wst ep

Rozwój ultrasonografii i metod ultradzwiekowych w medycynie liczy sobie niespeł-na 50 lat i jest scisle zwiazany z rozwojem elektroniki [126]. Techniki ultradzwieko-we wyrosły bezposrednio z techniki radarowej i sonarowej, których rozwój nastapiłw czasie wojny w zwiazku z zastosowaniami militarnymi. Pierwsze komercyjne ul-tradzwiekowe urzadzenia obrazujace z manualnie poruszanymi głowicami na pan-tografach pojawiły sie w latach 1960. Nastepne dziesieciolecie przyniosło obrazo-wanie w czasie rzeczywistym przy pomocy głowic sektorowych oraz obrazowaniew skali szarosci. Poczatek lat 1980, to poczatek epoki głowic wieloelementowych zeskanowaniem elektronicznym, dalsza miniaturyzacja i integracja z coraz szybciejrozwijajaca sie technika cyfrowa. Postep techniki cyfrowej, a w szczególnosci kom-puterów, umozliwił pod koniec lat 1990 realizacje ultrasonografii wolumetrycznej(tzw. 3D i 4D) polegajacej na rekonstrukcji obrazów trójwymiarowych. Ultrasono-grafia jest obecnie najpowszechniej stosowana diagnostyczna technika obrazowawspółczesnej medycyny (wyłaczajac klasyczne zdjecia rentgenowskie). Ten niewat-pliwy sukces ultrasonografia odniosła m.in. dzieki łatwosci badania, obrazowaniuw czasie rzeczywistym oraz mozliwosci czestego stosowania (brak efektów biolo-gicznych). Nalezy zaznaczyc, ze obecny status ultrasonografii nie byłby mozliwy bezrozwoju elektroniki oraz metod i systemów cyfrowego przetwarzania sygnałów, któ-re umozliwiły nie tylko miniaturyzacje urzadzen, ale przede wszystkim pozwoliły nastosowanie zaawansowanych metod kondycjonowania i przetwarzania sygnałów.

W kolejnych dwóch podrozdziałach (1.1., 1.2.) przedstawiono motywacje, temati cel pracy. Ostatnia czesc rozdziału (1.3.) stanowi wprowadzenie do zastosowan ob-razowych ultradzwiekowej techniki wysokiej czestotliwosci, zwiazanych bezposred-nio z tematem pracy.

1.1. Temat pracy

W Zakładzie Ultradzwieków IPPT PAN w latach 1990–2000 realizowany był te-mat ultrasonografii wysokiej czestotliwosci, w ramach którego powstał prototyp ul-trasonografu na czestotliwosc 20 MHz (autor tej pracy był twórca oprogramowania

http://rcin.org.pl

Page 22: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

22 1. Wstep

tego aparatu). Mikrosonograf, tak brzmiała nazwa tego urzadzenia, umozliwiał ob-razowanie w czasie rzeczywistym z predkoscia ok. 3 obrazów/s i dysponował zasie-giem do 5 mm. Urzadzenie znalazło zastosowanie w badaniach skóry i oka. W la-tach 1998–2000 za jego pomoca w Instytucie Onkologii w Warszawie były prowa-dzone badania nowotworów złosliwych skóry (w szczególnosci czerniaka). Niestetyrozdzielczosc i jakosc uzyskiwanych obrazów okazała sie wtedy niewystarczajacado tego zastosowania. Ograniczony zasieg oraz słaba dynamika obrazu dla głebiejpołozonych warstw skóry uniemozliwiała jednoznaczna klasyfikacje zmian nowo-tworowych.

W ostatnich latach w IPPT były prowadzone badania teoretyczne i laboratoryjnenowej techniki transmisji kodowanej w zastosowaniach ultradzwiekowych [95, 133].Badano przydatnosc sygnałów kodowanych zarówno w zakresie niskich czestotli-wosci (do 0,5 MHz) do badan kosci [94, 65], jak i w zakresie wysokich czestotliwo-sci (20–30 MHz) do zastosowan obrazowych. Stosowane i porównywane były róznerodzaje sygnałów kodowanych: sygnały z liniowa modulacja czestotliwosci (chirp)oraz modulowane fazowo (kody algebraiczne Barkera, Golaya). Dane były zbieraneprzy pomocy układu laboratoryjnego oraz przetwarzane off-line, co uniemozliwia-ło uzyskanie obrazowania w czasie rzeczywistym. Otrzymane wyniki ilosciowe jed-noznacznie wykazały korzysci stosowania transmisji kodowanej. Zaobserwowanoznaczaca poprawe stosunku sygnał-szum przy zachowaniu rozdzielczosci podłuz-nej (dla kodów Golaya) lub niewielkim jej pogorszeniu (dla sygnałów chirp). Przy-kładowo, dla głowicy o czestotliwosci 3,5 MHz i 16-bitowych kodów Golaya uzyska-no prawie 16 dB zysk stosunku sygnał-szum w porównaniu do pobudzenia klasycz-nego dwoma okresami sinusoidy [133]. Podobne rezultaty dla kodów Golaya uzy-skano dla głowicy o czestotliwosci 0,5 MHz, stosowanej do oceny osteoporozy me-toda szerokopasmowej estymacji tłumienia [94]. W tej samej pracy okreslono zyskstosunku sygnał-szum dla sygnału chirp o czasie trwania 16µs i pasmie 0,4–1 MHzna poziomie 12 dB.

Podobnie na swiecie transmisja kodowana była badana w zastosowaniach ul-tradzwiekowych [51, 81, 107, 17]. Przeglad i porównanie sygnałów kodowanychw ultrasonografii standardowej przedstawił Misaridis w swojej rozprawie doktor-skiej [80]. Przeprowadził on symulacje numeryczne oraz pomiary eksperymentalneprzy pomocy dwóch róznych systemów: odpowiednio zmodyfikowanego komer-cyjnego ultrasonografu (B-K Medical 3535) oraz systemu RASMUS [59]. W układziez ultrasonografem do generacji kodów został uzyty zewnetrzny układ laboratoryjnyskładajacy sie z generatora arbitralnego (LeCroy 9112) oraz wzmacniacza mocy (Ri-tec 5000). Akwizycja sygnału w.cz. ech była zrealizowana przy pomocy oscyloskopucyfrowego (LeCroy LC334AM). Dane pomiarowe uzyskano z głowicy o czestotliwo-sci 4 MHz. Misaridis uzyskał 10 dB zysk stosunku sygnał/szum dla pobudzen opty-

http://rcin.org.pl

Page 23: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

1.1. Temat pracy 23

malnym (ze wzgledu na pasmo głowicy i poziom listków bocznych) sygnałem chirpo długosci 20µs, w porównaniu do pobudzenia dwoma okresami sinusoidy. Peder-sen et al. [107] przebadali w warunkach klinicznych zastosowanie sygnału chirpi uzyskali zwiekszenie głebokosci obrazowania o ok. 2 cm dla głowicy mechanicznejz przetwornikiem na 4 MHz. Behar i Adam [17] zajeli sie zagadnieniem optymali-zacji sygnałów kodowanych pod katem maksymalizacji stosunku sygnał/szum przyminimalizacji poziomu listków bocznych. Stosujac apodyzacje amplitudy sygnałuchirp oraz filtracje niedopasowana (ang. mismatched filtering) uzyskali zwieksze-nie stosunku sygnał/szum o 21 dB dla czestotliwosci 8 MHz przy jednoczesnym ob-nizeniu poziomu listków bocznych do poziomu −94 dB.

Obiecujace wyniki transmisji kodowanej oraz wczesniejsze aplikacje obrazoweultradzwieków wysokiej czestotliwosci zadecydowały o rozpoczeciu projektu no-wego systemu obrazujacego wysokiej czestotliwosci wykorzystujacego ta technike.Konieczne zmiany w torze elektronicznym oraz systemie przetwarzania cyfrowe-go ultrasonografu opartego na transmisji kodowanej wymogły opracowanie całegourzadzenia od podstaw. Rozwazano budowe aparatu w oparciu o gotowe komercyj-ne komponenty (np. karte akwizycji) lub budowe własnej elektroniki. Zdecydowanosie na opracowanie w całosci własnej elektroniki, dajacej wieksze mozliwosci do-pasowania do aplikacji. Wydaje sie, ze zastosowanie transmisji kodowanej w ultra-dzwiekowej technice obrazowej wysokiej czestotliwosci jest szczególnie interesuja-ce i potrzebne, ze wzgledu na wysokie tłumienie i niezadowalajaca rozdzielczoscw zastosowaniach dermatologicznych, oftalmologicznych [131]. Jednak, na dziendzisiejszy brak w literaturze doniesien (wg. wiedzy autora) na temat praktycznejrealizacji tego typu systemu. Własnie mozliwosc realizacji nowego doskonalszegonarzedzia diagnostycznego była główna motywacja autora tej pracy. Dobry wybórzastosowanych rozwiazan technicznych pozwolił na budowe uniwersalnego syste-mu akwizycji i przetwarzania, którego aplikacje wykraczaja poza pierwotnie przy-jete załozenia. Nie bez znaczenia jest takze uzyskana miniaturyzacja i niskie kosztyrozwiazania. Małe rozmiary i energooszczednosc aparatu zapewniaja przenosnosc;niskie koszty daja realna szanse na jego wdrozenie.

Niniejsza praca porusza dosc szerokie spektrum zagadnien zwiazanych z reali-zacja projektu nowego aparatu. Z jednej strony sa to zagadnienia z akustyki (np. roz-kłady pól wiazek kodowanych), z drugiej zagadnienia techniczne (elektronika, cy-frowe przetwarzanie sygnałów), z trzeciej zastosowania medyczne. W pracy przed-stawiono technike transmisji kodowanej, jej podstawy teoretyczne oraz aplikacjew technice ultradzwiekowej (rozdział 2). Zaprezentowano takze oryginalne badaniapól promieniowania przetworników pobudzanych przebiegami kodowanymi, któ-re pozwalaja zrozumiec sposób obrazowania przy uzyciu kodów. Zagadnienia tech-niczne zwiazane z realizacja projektu nowego aparatu sa najistotniejszym elemen-

http://rcin.org.pl

Page 24: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

24 1. Wstep

tem składowym tej pracy. Szczególna uwage poswiecono na przeglad, przedstawie-nie i wybór tych rozwiazan, które umozliwia realizacje nowoczesnego systemu. Jed-nak, nowoczesne rozwiazania techniczne nie były celem samym w sobie, lecz słu-zyły osiagnieciu nowej jakosci obrazowania. Umiejetne i optymalne wykorzystaniedostepnych srodków technicznych staje sie coraz trudniejsze, ze wzgledu na mno-gosc dostepnych rozwiazan i opcji. W rozdziale 3 przedstawiono przeglad współcze-snych softwarowych i hardwarowych metod realizacji cyfrowego przetwarzania sy-gnałów. Poruszone takze zostały zagadnienia przetwarzania analogowo-cyfrowego— w tym rozwiazania układowe oraz ich charakterystyki. Przedyskutowano istot-ne parametry i warunki pracy tych układów dla sygnałów w.cz.. Opis i porówna-nie toru przetwarzania klasycznego ultrasonografu z ultrasonografem opartym natechnice transmisji kodowanej poprzedza projekt nowego systemu. Projekt aparatu(rozdział 4) składa sie z opisu układów elektronicznych (analogowych i cyfrowych),systemu przetwarzania cyfrowego oraz oprogramowania uzytkowego. Architektu-ra i metodologia projektowania programowalnych układów cyfrowych FPGA zosta-ła zaprezentowana ze wzgledu na ich centralne miejsce w projekcie cyfrowym. Torprzetwarzania cyfrowego zrealizowano w pełni softwarowo. Zaproponowano nowazbalansowana architekture przetwarzania w oparciu o procesor główny i procesorgraficzny. W dalszej czesci przedstawiono badania zrealizowanego systemu obra-zujacego (rozdział 5). Przeprowadzono weryfikacje poprawnosci algorytmów prze-twarzania, ilosciowe badania porównawcze dla róznych kodów nadawczych orazbadania jakosciowe in vivo. W ostatniej czesci (rozdział 6) podsumowano zrealizo-wany projekt i wskazano na zakres jego zastosowan oraz dalszych badan. Przed-stawiono mozliwosci rozbudowy systemu, a takze zupełnie inne zastosowania je-go elementów składowych. Zwrócono takze uwage na nowe mozliwosci jakie dajepróbkowanie i przetwarzanie sygnału w.cz..

1.2. Cel pracy

Najwazniejszym celem pracy była realizacja obrazowania ultradzwiekowego wy-sokiej czestotliwosci (20–40 MHz) z transmisja kodowana i przetwarzaniem w cza-sie rzeczywistym. System ten dzieki zastosowaniu techniki nadawania kodowanegopowinien wniesc nowa jakosc do obrazowania wysokiej rozdzielczosci dla zastoso-wan w dermatologii i oftalmologii. Zalety techniki transmisji kodowanej (zwieksze-nie głebokosci obrazowania, poprawa kontrastu i dynamiki) zadecydowały o po-trzebie jej praktycznego wdrozenia w aparacie, który umozliwiłby szerokie zastoso-wania kliniczne. Wczesniejsze badania laboratoryjne na wybranych kodach wyka-zały znaczny wzrost stosunku sygnał-szum, który jest bezposrednim wskaznikiem

http://rcin.org.pl

Page 25: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

1.3. Ultrasonografia wysokiej czestotliwosci 25

kontrastu w obrazowaniu. Podstawowym załozeniem projektu było zapewnienie re-zimu czasu rzeczywistego dla przetwarzania i obrazowania, co wymagało realizacjibardzo wydajnego systemu akwizycji i transmisji danych oraz algorytmów przetwa-rzania sygnału w.cz.. Waznym celem projektu było umozliwienie oceny i realizacjibadan porównawczych dla róznych rodzajów sygnałów kodowanych w warunkachlaboratoryjnych i klinicznych. Opinia lekarzy jest bardzo istotna i potrzebna przyrozwoju nowych metod obrazowych. Mozliwosc ewaluacji róznych sposobów nada-wania, przetwarzania i wizualizacji oraz ich porównaniu z nadawaniem klasycznymw tych samych warunkach jest nieoceniona. System w załozeniach miał wspieracmozliwie szeroka game sygnałów kodowanych — w szczególnosci: sygnałów z cia-gła i dyskretna modulacja fazowa oraz czestotliwosciowa (chirp, kody Barkera i Go-laya).

W trakcie realizacji projektu stało sie jasne, ze niewielkim kosztem mozna bedziezrealizowac takze dodatkowe cele (np. miniaturyzacja urzadzenia, uniwersalnoscplatformy akwizycji i przetwarzania). Wybór nowoczesnych podzespołów, metodi architektury zapewnił bardzo duze mozliwosci programowania gotowego urza-dzenia (takze w trakcie pracy). Dzieki temu stało sie mozliwe nie tylko przełacza-nie "w locie" rodzaju nadawanych kodów i ich bezposredniego porównania w tychsamych warunkach pomiarowych, ale takze całkowita zmiana sposobu nadawania,akwizycji i przetwarzania sygnałów. Tego typu funkcje spowodowały, ze system pier-wotnie dedykowany do jednego celu stał sie platforma uniwersalna pozwalajaca nanowe zastosowania. Uniwersalnosc platformy skłoniła autora do rozwazan dotycza-cych architektury aparatów ultrasonograficznych obecnych na rynku i kierunkówich rozwoju.

Zaprojektowany, zrealizowany i przedstawiony w tej pracy system jest uniwer-salnym narzedziem, które umozliwia nie tylko badanie transmisji kodowanej w za-stosowaniach obrazowych, ale takze budowe innych systemów akwizycji i przetwa-rzania w technice ultradzwiekowej i poza nia. Wdrozenie transmisji kodowanej po-zwoli na poprawe jakosci obrazowania, a takze zwiekszenie rozdzielczosci w zasto-sowaniach gdzie jest to wymagane. Lepsza jakosc obrazowania powinna wpłynacdodatnio na skutecznosc obecnych metod diagnostyki oraz umozliwic nowe zasto-sowania. Autor ma nadzieje, ze jego praca przynajmniej w pewnym stopniu przy-czyni sie do rozwoju zastosowan medycznych ultradzwieków.

1.3. Ultrasonografia wysokiej cz estotliwosci

Ultrasonografia wysokiej czestotliwosci jest technika obrazowa wykorzystujacafale ultradzwiekowe o czestotliwosci powyzej 20 MHz. Rozdzielczosc obrazowania

http://rcin.org.pl

Page 26: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

26 1. Wstep

zwiazana jest z czestotliwoscia fali ultradzwiekowej, a w istocie z pasmem prze-twornika. Pasmo przetwornika determinuje długosc odpowiedzi impulsowej, czyliwłasnie rozdzielczosc osiowa (czasowa). Z kolei pasmo przetwornika jest zwiazanez czestotliwoscia podstawowa przetwornika (dla okreslonego pasma wzglednego).Rozdzielczosc obrazowania oraz mozliwy do uzyskania zasieg badania stanowia za-wsze kompromis, co jest inherentnym ograniczeniem wynikajacym z fizyki samegozjawiska.

Zakres penetracji obrazowania oraz jego jakosc (kontrast) sa zwiazane bezpo-srednio z natezeniem akustycznym fali oraz tłumieniem. Tłumienie fali ultradzwie-kowej w tkankach miekkich rosnie w przyblizeniu liniowo z czestotliwoscia i wy-nosi srednio 0,6 dB/MHz/cm (od 0,1 dB/MHz/cm dla ciałka szklistego oka do2 dB/MHz/cm dla skóry) [90]. Dla ultrasonografii wysokiej czestotliwosci głebo-kosc badania staje sie bardzo ograniczona (np. ok. 6 mm dla 20 MHz). Poniewaz za-kres dynamiki elektronicznych układów wejsciowych jest ograniczony, zwiekszeniegłebokosci obrazowania wymagało by zwiekszenia poziomów nadawania. Jednakzemaksymalne poziomy nadawania (cisnienia akustycznego) sa ograniczone w zasto-sowaniach medycznych ze wzgledów bezpieczenstwa. Tak wiec, obecnie stosowanerozwiazania limituja zakres obrazowania i uniemozliwiaja dalsze zwiekszanie roz-dzielczosci (czestotliwosci), co ogranicza mozliwosci diagnostyczne oraz nowe za-stosowania.

1.3.1. Ograniczenia poziomow nadawania

Dotychczasowe badania nie ujawniły zadnych szkodliwych efektów biologicz-nych spowodowanych stosowaniem diagnostyki ultradzwiekowej. Oczywiscie ul-tradzwieki jako fale mechaniczne oddziałuja na tkanki i moga wywoływac szko-dliwe skutki o ile nie sa zachowane bezpieczne poziomy natezenia fali. Kontrolo-wane bioefekty indukowane falami mechanicznymi sa wykorzystywane w terapiinp. hipertermia do selektywnego hamowania wzrostu komórek rakowych, litotryp-sja do kruszenia kamieni nerkowych. Ultradzwieki moga powodowac dwa rodzajeefektów biologicznych — termiczne oraz mechaniczne. Efekty termiczne polegajana wzroscie temperatury badanej tkanki pod wpływem absorpcji czesci energii faliakustycznej. Efekty mechaniczne, to przede wszystkim kawitacja powodujaca po-wstawanie i zapadanie sie babelków gazowych mogacych powodowac uszkodzeniatkanki.

Na podstawie wieloletnich badan eksperymentalnych ustalono, ze w zakresieczestotliwosci od 0,5 do 10 MHz bioefekty nie wystapiły dla natezen Ispta mniejszychod 100 mW/cm2 oraz dla czasów nadzwiekawiania t , dla których iloczyn Ispta · tjest mniejszy od 50 J/cm2 [90]. Zarówno w Stanach Zjednoczonych, jak i w Europie

http://rcin.org.pl

Page 27: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

1.3. Ultrasonografia wysokiej czestotliwosci 27

powstały standardy okreslajace maksymalne moce i dawki ultradzwieków stosowa-nych w diagnostyce [43, 104, 57]. Do 1992 r. standardy te okreslały bezpieczne nate-zenia ultradzwieków (Ispta) dla konkretnych aplikacji w zakresie od 17 mW/cm2 dlaoftalmologii do 720 mW/cm2 dla badan naczyn obwodowych. Obecnie stosowanyjest system ODS (ang. Output Display Standard) dajacy uzytkownikowi wskaznikiokreslajace mozliwosc wystepowania bioefektów mechanicznych i termicznych dlaokreslonych nastaw aparatu oraz ustalajacy jedna maksymalna wartosc natezenia720 mW/cm2 dla wszystkich aplikacji. W celu opisania zaleznosci pomiedzy mie-rzalnymi parametrami pola a potencjalnymi bioefektami w systemie ODS wprowa-dzono dwa wskazniki: indeks termiczny (TI — ang. Thermal Index) oraz indeks me-chaniczny (MI — ang. Mechanical Index) [57]. Standardy wymagaja aby wskaznikite były na biezaco obliczane i wyswietlane na monitorze w trakcie badania w funkcjitrybu pracy aparatu oraz typu obrazowanej tkanki. Definicja wskazników i ich zwia-zek z parametrami fizycznymi opiera sie na fenomenologicznym modelu wypraco-wanym na podstawie dotychczasowych doswiadczen i badan [4]. Indeks mecha-niczny słuzy do oszacowania potencjalnych bioefektów mechanicznych takich jak:ruch (ang. streaming) pod wpływem cisnienia ultradzwieków oraz energii wyzwa-lanej podczas zapadania sie babelków wywołanych kawitacja. Warunki wpływajacena prawdopodobienstwo efektów mechanicznych nie sa jeszcze dobrze poznane;jednak uznaje sie, ze prawdopodobienstwo to rosnie wraz ze wzrostem szczytowe-go cisnienia fali rozrzedzeniowej oraz maleje ze wzrostem czestotliwosci ultradz-wiekowej. Ponadto, uwaza sie, ze efekty zaczynaja wystepowac dopiero po przekro-czeniu pewnej wartosci granicznej [11]. Pomimo ugruntowanej w literaturze zalez-nosci liniowej tłumienia od czestotliwosci, za podstawe do wyznaczania MI przy-jeto bardziej zachowawcza zaleznosc wykładnicza. W obliczeniach MI stosuje siemodel tkanki homogenicznej ze srednim tłumieniem 0,3 dB/MHz/cm . Indeks me-chaniczny zdefiniowano jako:

MI =pr a · f −1/2

awf

CMI, (1.1)

gdzie:CMI = 1 MPa/MHz;pra — osłabione szczytowe cisnienie fali rozrzedzeniowej w MPa,przy czym pra = pr ·10−0,015· f ·z oraz

pr — maksimum ujemnej wartosci szczytowej cisnienia,z — odległosc od przetwornika,f — czestotliwosc fali akustycznej;

fawf — akustyczna czestotliwosc pracy w MHz;CMI = 1 MPa.

http://rcin.org.pl

Page 28: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

28 1. Wstep

Wybrany uproszczony model tłumienia jest usprawiedliwiony na obecnym pozio-mie zrozumienia warunków powstawania bioefektów mechanicznych, a sam in-deks mechaniczny łatwy do implementacji i uzycia oraz pozwalajacy uzytkowni-kowi na minimalizacje poziomu wyjscia akustycznego i potencjalnych bioefektówmechanicznych.

Zaleznosc pomiedzy wzrostem temperatury tkanki, a bioefektami zalezy od mie-rzalnych parametrów pola akustycznego, takich jak:

• P — wyjsciowa moc akustyczna; jednostka [W],

• Ita — (ang. Intensity Temporal Average) srednia czasowa natezenia; jednost-ka [W/cm2],

• Ispta — (ang. Intensity Spatial Peak Temporal Average) natezenie szczytowew przestrzeni usrednione w czasie; jednostka [W/cm2].

Zaden z tych parametrów indywidualnie nie jest reprezentatywnym wskaznikiemwzrostu temperatury indukowanym ultradzwiekami. Kombinacja tych parametrów,łacznie z pewna informacja geometryczna moze byc uzyta do obliczenia indeksówdajacych oszacowanie wzrostu temperatury w tkankach miekkich i kosci. Indekstermiczny został zdefiniowany w nastepujacy sposób:

TI = Pp /Pdeg , (1.2)

gdzie:Pp — parametr mocy w miliwatach (okreslonym dalej);Pdeg — oszacowana moc w miliwatach potrzebna do podniesienia temperatury

tkanki o 1 °C, na podstawie modelu.

Poniewaz przewidywanie i modelowanie termiczne wielu mozliwych płaszczyznskanów ciała ludzkiego jest trudne, zastosowano model uproszczony oparty o wa-runki srednie. Okreslono trzy wybieralne przez uzytkownika rodzaje indeksu ter-micznego odpowiadajace róznym anatomicznym kombinacjom tkanki miekkieji kosci spotykanym w obrazowaniu ultradzwiekowym (tabela 1.1).

Kazdy rodzaj indeksu uzywa jednego lub wiecej modelu TI , który jest obliczanyna podstawie informacji ze sprzetu –– m.in. apertury przetwornika lub rozmiarówwiazki i trybu obrazowania. Parametr mocy (Pp ) oraz model wzrostu temperatu-ry w tkance zostały okreslone doswiadczalnie dla wybranych typowych warunkóww tkance miekkiej, kosci, kosci czaszki oraz oka.

W przypadku zastosowan obrazowych ultrasonografii wysokiej czestotliwosci,gdzie stosowane sa głowice z jednym ogniskowanym przetwornikiem skanowa-nym mechanicznie, ograniczajacym parametrem bezpieczenstwa jest pra zwiazanyze szczytowymi napieciami impulsów nadawczych.

http://rcin.org.pl

Page 29: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

1.3. Ultrasonografia wysokiej czestotliwosci 29

Tabela 1.1.: Rodzaje i modele indeksu termicznego

Rodzaj TI Model TITryb skanowany Tryb nieskanowany

TIS (tkanka miekka) Na powierzchni tkanki miekkiej Duza aperturaMała apertura

TIB (kosc) Na powierzchni tkanki miekkiej Kosc w ognisku

TIC (kosc czaszkowa) Kosc na powierzchni

1.3.2. Zastosowania

Spektrum zastosowan medycznych ultradzwieków jest bardzo szerokie — zaczy-najac od diagnostyki, a konczac na coraz liczniejszych zastosowaniach terapeutycz-nych. Ultrasonografia wysokiej i bardzo wysokiej czestotliwosci (20–100 MHz) jeststosowana w róznych działach medycyny (dermatologia, oftalmologia, kardiologia),kosmetyce oraz w badaniu małych zwierzat [6, 135, 18, 46]. Zastosowania te nie satak rozpowszechnione i liczne jak ogólna ultrasonografia obrazowa, ale zdobywajacoraz wieksza popularnosc.

W dalszej czesci przedstawiono wybrane diagnostyczne zastosowania ultradz-wieków wysokiej czestotliwosci ograniczajac sie do zastosowan zwiazanych z głów-nym tematem pracy.

1.3.2.1. Dermatologia

Skóra składa sie z trzech głównych warstw (rys. 1.1):

• Naskórek (ang. epidermis) — zewnetrzna wodoodporna warstwa pełniacafunkcje ochronna. Składa sie z warstw: rogowej, ziarnistej, kolczystej orazpodstawnej.

• Skóra własciwa (ang. dermis) — warstwa srodkowa zawierajaca naczyniakrwionosne, receptory, nerwy i gruczoły; pełni funkcje odzywcze i wspieraja-ce.

• Tkanka podskórna (ang. hypodermis) — warstwa spodnia zbudowana z tkan-ki łacznej własciwej luznej. Zawiera komórki tłuszczowe i pełni funkcje izo-lacji termicznej.

Diagnostyka dermatologiczna powierzchni skóry opiera sie głównie na meto-dach inspekcji wizualnej i palpacji. Cechy anatomiczne i zmiany morfologiczne (tj.kolor, konfiguracja, powierzchnia, twardosc, itp.) pozwalaja w wielu przypadkachna diagnoze. Inspekcja wizualna jest czesto wspierana dermatoskopem — urza-dzeniem powiekszajacym 10–20x z wystandaryzowanym zródłem swiatła. Derma-

http://rcin.org.pl

Page 30: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

30 1. Wstep

Rysunek 1.1.: Budowa skóry (zródło: Wikipedia/www.3dscience.com): 1) por, 2) warstwa ro-gowa naskórka, 3) warstwa ziarnista naskórka, 4) warstwa kolczasta naskórka, 5) skórawłasciwa, 6) ciałka Ruffiniego, 7) gruczoł potowy, 8) ciałka Paciniego, 9) włókna nerwowe,10) miesien nastraszajacy włosa, 11) włókna miesniowe, 12) tetnica, 13) zyła, 14) cebulka

włosa, 15) korzen włosa, 16) gruczoł łojowy, 17) ciałko Meissnera, 18) trzon włosa

toskopia pozwala na ocene i róznicowanie zmian barwnikowych, a takze, w przy-padku urzadzen cyfrowych, na zapamietywanie i porównywanie wygladu zmia-ny w czasie. Badania organoleptyczne daja bardzo ograniczone mozliwosci oce-ny zmian podpowierzchniowych — głebokosci, rozległosci, morfologii. Do ocenygłebszych warstw skóry stosuje sie badania histopatologiczne (wymagajace biopsji)lub nieinwazyjne metody obrazowe (optyczne, ultradzwiekowe oraz jadrowe) [53].Do metod optycznych, charakteryzujacych sie najwieksza rozdzielczoscia, nalezamikroskopia konfokalna oraz OCT (ang. Optical Coherence Tomography — optycz-na tomografia koherentna). Obie metody umozliwiaja uzyskiwania obrazów 2D i 3Dz mikrometrowa rozdzielczoscia, ale z bardzo ograniczona głebokoscia obrazowa-nia (ponizej 0,5 mm dla mikroskopii i 2–3 mm dla OTC). Ultrasonografia wysokiej

http://rcin.org.pl

Page 31: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

1.3. Ultrasonografia wysokiej czestotliwosci 31

czestotliwosci, zwana takze UBM (ang. Ultrasound Biomicroscopy), pomimo wieluzalet nie jest obecnie bardzo rozpowszechniona metoda diagnostyczna w derma-tologii. Jednym z powodów jest niewielka liczba komercyjnych aparatów, drugimniewystarczajaca rozdzielczosc i/lub zasieg (tabela 1.2). Ocena wystepowania i/lubprzebiegu wielu chorób skóry wymaga pomiaru oraz monitorowania zmian roz-miarów poszczególnych struktur, co narzuca warunki na rozróznialnosci strukturoraz rozdzielczosc obrazowania. W pracy Thiboutot [131] zwrócono uwage na pro-blem rozdzielczosci obecnych urzadzen, jako niewystarczajacej do oceny strukturnaskórka. Jednoczesnie wskazano na obiecujaca poprawe rozdzielczosci dla prze-tworników o czestotliwosci powyzej 40 MHz, niestety przy jednoczesnym zbyt du-zym ograniczeniu zasiegu badania. Dobra rozróznialnosc struktur naskórka wyma-ga zastosowania ultradzwieków o czestotliwosci 100 MHz.

W obrazowaniu ultrasonograficznym stosowane sa nastepujace sposoby prezen-tacji:

Prezentacja A (ang. A scan) przedstawia wykres amplitudy natezenia odbitej faliultradzwiekowej wzdłuz pojedynczej linii (wiazki) w funkcji głebokosci. Pre-zentacja ta umozliwia okreslanie grubosci warstw tkankowych.

Prezentacja B (ang. B scan) słuzy do obrazowania za pomoca mapy jasnosci (ob-razu) tworzonej z wielu linii A zbieranych w pewnym obszarze (na pewnejdługosci). Siła natezenia odbitej fali ultradzwiekowej jest zamieniana na ja-snosc lub kolor punktu obrazu.

Prezentacja C (ang. C scan) jest prezentacja obrazowa uzyskiwana podobnie doprezentacji B, ale w innej płaszczyznie. Wiazka skanuje pewien obszar (naj-czesciej prostokatny) powierzchni obiektu; nastepnie z kazdej zebranej li-nii A wybierane sa echa z okreslonej głebokosci. W ten sposób uzyskiwanyjest obraz przekroju równoległego do powierzchni z okreslonej głebokosci.Tego typu obraz moze byc nakładany i porównywany z obrazami optyczny-mi oraz histopatologicznymi, które sa najczesciej wykonywane w tej płasz-czyznie.

Badania ultrasonograficzne w dermatologii obejmuja: okreslanie grubosciwarstw skóry w celu rozpoznawania schorzen (m.in. łuszczycy, twardziny, nowo-tworów), monitorowanie gojenia ran i wrzodów oraz okreslanie rozmiarów i ob-jetosci zmian. Bardzo waznym i obiecujacym zastosowaniem UBM jest wczesnewykrywanie nowotworów — w tym najpowszechniejszego i najbardziej zabójcze-go czerniaka złosliwego (łac. melanoma malignum). Obecnie rozpoznawanie tegonowotworu opiera sie na metodach organoleptycznych oraz badaniu histopatolo-gicznym, co uniemozliwia realizacje badan przesiewowych na duza skale.

http://rcin.org.pl

Page 32: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

32 1. Wstep

Tabela 1.2.: Rozmiary struktur skóry [131]

Struktura Głebokosc [µm] Szerokosc [µm]

naskórek 50–100

skóra własciwa 1200–1800

korzen włosa (meszek) 800–1200 30–60

korzen włosa (koncowy) 1500–4000 50–100

gruczoł łojowy 150–2500 150–500

gruczoł potowy 2000 400

przewód potowy 100

gruczoł zapachowy 3000 600

przewód zapachowy 140

1.3.2.2. Aparaty komercyjne

Na rynku dostepne sa aparaty do zastosowan dermatologicznych i okulistycz-nych pracujace w zakresie 20–80 MHz. Aparat DermaScan (Cortex Technology, Da-nia) pracuje z głowicami 20 lub 50 MHz. Dla głowicy 50 MHz umozliwia obrazo-wanie do 3 mm głebokosci z rozdzielczoscia podłuzna do 40µm. Firma LongportInc. (USA) jest producentem aparatu Episcan na zakres czestotliwosci 16–50 MHz.Cecha szczególna urzadzenia jest głowica umozliwiajaca obrazowanie o szeroko-sci az 15 mm. Paradigm Medical Industries (USA) jest producentem kilku mode-li aparatów pracujacych w zakresie do 50 MHz do zastosowan dermatologicznychi okulistycznych. W Polsce jedynym produkowanym urzadzeniem wysokiej czesto-tliwosci jest aparat DESMIN firmy Echo-Son S.A.dostepny z głowica na 20 MHz.Aparat ten został zrealizowany w oparciu o prototyp urzadzenia opracowanegow IPPT. Wszystkie dostepne obecne urzadzenia wykorzystuja głowice jednoelemen-towe poruszane mechanicznie gdyz technologia produkcji komercyjnych przetwor-ników wieloelementowych na wysokie czestotliwosci nie jest jeszcze dostepna.

1.3.2.3. Oftalmologia

Oko jest niezwykle delikatnym i precyzyjnym organem (rys. 1.2). W diagnostyceoka przewazaja metody optyczne dzieki mozliwosci obrazowania wnetrza oka przezzrenice. Z drugiej strony metody ultradzwiekowe sa standardem w pomiarach roz-miarów struktur oka (biometria — długosc gałki ocznej i jej struktur, pachymetria— pomiar grubosci rogówki). W oftalmologii stosuje sie najczesciej głowice jedno-elementowe nieruchome (prezentacja A) lub poruszane mechanicznie (prezenta-cja B i C) do badan rutynowych w zakresie czestotliwosci 5–15 MHz oraz 15–50 MHz

http://rcin.org.pl

Page 33: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

1.3. Ultrasonografia wysokiej czestotliwosci 33

do dokładnej diagnostyki przedniego odcinka gałki ocznej. Głowice, po uprzednimznieczuleniu oka, sa sprzegane z gałka oczna przez kapiel wodna lub bezposrednio.

Rysunek 1.2.: Budowa oka (zródło: Wikipedia/Chabacano): 1) komora tylna, 2) rabek zebaty,3) miesien rzeskowy, 4) obwódka rzeskowa, 5) kanał Schlemma, 6) zrenica, 7) przednia ko-mora, 8) rogówka, 9) teczówka, 10) kora soczewki, 11) jadro soczewki, 12) ciałko rzeskowe,13) spojówka, 14) miesien skosny dolny, 15) miesien prosty dolny, 16) miesien prosty przy-srodkowy, 17) naczynia siatkówki, 18) tarcza nerwu wzrokowego, 19) opona twarda, 20) tet-nica srodkowa siatkówki, 21) zyła srodkowa siatkówki, 22) nerw wzrokowy, 23) zyła wiro-wata, 24) otoczka, 25) plamka zółta, 26) dołek srodkowy, 27) twardówka, 28) naczyniówka,

29) miesien prosty górny

Ponadto wystepuja konkretne wskazania do diagnostyki ultradzwiekowej [60]:

• nieprzezroczyste osrodki optyczne (bielmo, wylew krwi, zmetnienie soczew-ki),

• zmiany w przednim odcinku oka (rogówka, komora przednia, ciało rzesko-we, kat przesaczania),

• pomiary gałki ocznej i oczodołu (biometria),

• ocena struktur anatomicznych gałki ocznej (błony naczyniowej, siatkówki,twardówki, kształt gałki, etc.),

• ocena patologii ciałka szklistego (zmetnienie, rozpływ, wylew krwi, etc.),

• diagnostyka guzów wewnatrzgałkowych (nowotwory gałki),

http://rcin.org.pl

Page 34: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

34 1. Wstep

• schorzenia oczodołu (guzy, ocena miesni, patologie nerwu wzrokowego, etc.)i siatkówki (rozwarstwienia, zwyrodnienia, etc.),

• lokalizacja i ocena ciał obcych oraz ocena zmian pourazowych,

• badanie ukrwienia (metoda Dopplera).

Przykładowym standardowym aparatem oftalmologicznym jest OTI-Scan 2000firmy OTI (Kanada) pracujacy z głowicami w prezentacji A oraz B w zakresie 10–50 MHz. Wysoko specjalizowanym aparatem ultradzwiekowym jest Artemis 2 firmyUltralink LLC (USA) — realizujacy obrazowanie 3D przy czestotliwosci 50 MHz. Za-stosowano w nim unikalny automatyczny system poruszania głowicy po łuku w ce-lu zapewnienia prostopadłosci wiazki ultradzwiekowej do powierzchni oka na całejdługosci skanowania. Takie rozwiazanie zapewnia jednakowy i optymalny stosuneksygnał-szum dla kazdej linii obrazu (gdyz nie ma odbicia wiazki od powierzchni za-leznego od kata padania). Producent specyfikuje 2µm dokładnosc pomiaru grubo-sci rogówki. Precyzyjny pomiar rogówki na całej powierzchni jest bardzo istotny dlaplanowania zabiegów laserowej chirurgii rogówki (LASIK — laser in-situ keratomi-leusis).

1.3.2.4. Inne zastosowania

Poza przedstawionymi powyzej głównymi zastosowaniami ultradzwieków wy-sokiej czestotliwosci mozna wymienic takze inne:

• Badania wewnatrz naczyniowe — słuza ocenie stanu naczyn krwionosnychza pomoca wprowadzanych do ich wnetrza miniaturowych sond ultradzwie-kowych mocowanych na koncu cewnika (tzw. katetery). Obrazowanie sciannaczyn oraz tkanek przyległych jest realizowane przez skanowanie wiazkaultradzwiekowa dookoła osi naczynia. Skanowanie wiazka moze byc reali-zowane przez mechaniczny obrót przetwornika albo zwierciadła lub przezzastosowanie przetworników wieloelementowych i skanowania elektronicz-nego. Małe zakresy badania i wymagana wysoka rozdzielczosc powoduja, zedo obrazowania tego typu stosuje sie czestotliwosci w zakresie 5–40 MHz.

• Obrazowanie małych zwierzat oraz molekularne — jest jedna z najnowszychi bardzo dynamicznie rozwijanych aplikacji. Zwierzeta laboratoryjne stano-wia podstawe badan leków oraz badan genetycznych. Badania małych zwie-rzat (np. myszy) ma swoja specyfike zwiazana nie tylko z małym rozmiarem(a wiec i wymagana wieksza rozdzielczoscia) ale takze z inna anatomia i fizjo-logia (np. serce myszy bije z predkoscia 400–800 uderzen na minute). Obec-nie jedyna firma VisualSonics Inc. (Kanada) specjalizuje sie w aparatach dotego typu badan. Oferowany przez nia aparat Vevo 770 zapewnia obrazowa-

http://rcin.org.pl

Page 35: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

1.3. Ultrasonografia wysokiej czestotliwosci 35

nie z rozdzielczoscia do 30µm. System wspiera takze badania kardiologicznedzieki funkcji Dopplera impulsowego oraz opatentowanemu systemowi re-konstrukcji pozwalajacemu na uzyskanie obrazowania z efektywna predko-scia 1000 obrazów/sek.

• Kosmetyka — rozwój produktów kosmetycznych wymaga prowadzenia co-raz bardziej zaawansowanych badan. Ogromna wartosc rynku produktówkosmetycznych, szczególnie do pielegnacji skóry, spowodowała dynamicznyrozwój tej gałezi produkcji, a co za tym idzie badan majacych na celu oce-ne działania kosmetyków. Badanie, pomiary i monitorowanie wpływu pre-paratów na poszczególne warstwy skóry wymaga narzedzi diagnostycznychpodobnych jak w dermatologii. Obecnie ultradzwieki sa powszechnie stoso-wane w kosmetyce do przeprowadzania zabiegów (np. peeling, sonoforeza,masaz). W niedalekiej przyszłosci mozna spodziewac sie rozwoju metod oce-ny stanu skóry, które pozwola na dobór kosmetyków do konkretnego rodzajucery; wydaje sie, ze metody ultradzwiekowe moga odegrac tutaj duza role.

1.3.3. Podsumowanie

Ultrasonografia wysokiej czestotliwosci stanowi wazne narzedzie w wielu dzie-dzinach medycznych. Nowe i obiecujace zastosowania oczekuja na postep w jako-sci, rozdzielczosci i głebokosci obrazowania ultradzwiekowego. Naturalnym kierun-kiem dalszego rozwoju bedzie migracja metod przetwarzania stosowanych obec-nie w ultrasonografii klasycznej (do 10 MHz) do ultrasonografii wysokiej czestotli-wosci. Wczesniej czy pózniej metody stosowane powszechnie w aparatach niskichczestotliwosci (głowice wieloelementowe, metody dopplerowskie, obrazowanie 3D,itp.) zostana zastosowane w wyzszym zakresie czestotliwosci. Ze wzgledu na specy-ficzne aplikacje medyczne technik wysokich czestotliwosci niektóre metody wydajasie szczególnie interesujace — np. elastografia dla zastosowan dermatologicznych.Z drugiej strony przeniesienie pewnych metod do swiata wysokich rozdzielczoscimoze okazac sie trudne — np. techniki dopplerowskie do badan mikrokrazenia, zewzgledu na bardzo małe predkosci przepływu. Jednak najwazniejszym zagadnie-niem na najblizsze lata pozostaje popularyzacja techniki ultradzwiekowej wysokiejczestotliwosci poprzez zwiekszenie dostepnosci aparatów oraz polepszenie stosun-ku rozdzielczosci do głebokosci obrazowania.

http://rcin.org.pl

Page 36: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

http://rcin.org.pl

Page 37: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

2

Transmisja kodowana

Technika transmisji kodowanej była pierwotnie zastosowana w technice radarowej(od lat 1950) w celu zwiekszenia stosunku sygnał-szum w porównaniu do klasycz-nych (krótkich) sygnałów nadawczych [82]. Zastosowanie długich sygnałów nadaw-czych powoduje zwiekszenie energii impulsu, natomiast szczególna funkcja au-tokorelacji nadawanych kodów pozwala na odzyskanie rozdzielczosci przez kom-presje czasowa odebranego echa przy jednoczesnym zwiekszeniu stosunku sygnał-szum. Istotne jest, ze zwiekszanie energii sygnału odbywa sie przez wydłuzanie im-pulsu a nie zwiekszanie szczytowej amplitudy sygnałów nadawczych, która w prak-tycznych zastosowaniach jest ograniczona albo ze wzgledów technicznych (radary)albo wzgledów bezpieczenstwa (w ultrasonografii). Technika transmisji kodowanejjest obecnie szeroko stosowana takze w telekomunikacji oraz w innych systemachtypu puls-echo np. sonary, pomiary odległosci (optyczne, akustyczne), ultradzwie-kowe badania nieniszczace, ultrasonografia, technika dopplerowska, etc.

2.1. Sygna ly kodowane

Proces kodowania sygnału jest standardowo rozpatrywany w teorii informacji.Kodowanie słuzy do zwiekszenia ilosci i/lub jakosci (zmniejszenia stopy błedów)transmisji danych przez kanał komunikacyjny. Schemat systemu telekomunikacyj-nego mozna przedstawic w postaci:

(dane wej.) → (koder) → (kanał komunikacyjny) → (dekoder) → (dane wyj.)

W powyzszym modelu komunikacji zakłada sie, ze kanał jest obciazony szumemi zniekształceniami, które wpływaja na jakosc transmisji. W przypadku zastosowantypu puls-echo (radary, ultrasonografia) proces "transmisji danych" mozna rozu-miec, przez analogie, jako przesłanie impulsu próbkujacego przez tor składajacy siez układów elektronicznych, przetwornika i osrodka, a nastepnie detekcji sygnałówech. Detekcja to wydobywanie informacji zawartej w sygnale odbiorczym poprzezwykrywanie sygnału uzytecznego, czyli niosacego informacje. Jakosc detekcji sy-

http://rcin.org.pl

Page 38: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

38 2. Transmisja kodowana

gnału jest zwiazana z parametrami toru komunikacyjnego (stosunek sygnał-szum)oraz z zastosowanym sposobem kodowania.

W ultrasonografii parametr sygnał-szum toru nadawczo-odbiorczego determi-nuja:

1. poziom energii impulsów nadawczych,

2. efektywnosc przetwornika ultradzwiekowego,

3. dynamika przetwornika A/C (szum kwantyzacji),

4. szum elektroniczny (w tym termiczny).

Tak wiec, przy ustalonych parametrach technicznych przetwornika A/C, zmi-nimalizowanym szumie elektronicznym oraz ograniczonym ze wzgledów bezpie-czenstwa maksymalnym poziomie cisnienia impulsów nadawczych jedynym spo-sobem poprawy parametrów toru jest zastosowanie kodowania sygnałów, któreumozliwia zwiekszenie całkowitej energii impulsów nadawczych. Amplitudy cisnie-nia akustycznego impulsów nadawczych generowanych w komercyjnych aparatachsa obecnie zblizone do limitów okreslonych przez normy bezpieczenstwa [30], takwiec ich zwiekszanie jest niemozliwe.

2.1.1. Rodzaje kodow

W ultrasonografii, podobnie jak w innych systemach puls-echo, obowiazuje zna-na z techniki radarowej zaleznosc dla rozdzielczosci zasiegowej [68]:

∆R = cT

2= c

2B, (2.1)

gdzie: c — predkosc fali w osrodku, T — czas trwania impulsu, B — pasmo impulsu.Dla klasycznie stosowanych krótkich impulsów (rys. 2.1) istnieje bezposredni

zwiazek wiazacy czas trwania impulsu T z szerokoscia pasma 1 B = 1/T .Okreslany dla impulsów parametr TB (ang. Time-Bandwidth Product) bedacy

iloczynem czasu trwania impulsu i jego pasma jest w tym wypadku bliski jednosci.Zastosowanie modulacji (kodowania) pozwala na poszerzenie widma impulsu nie-zaleznie od długosci jego trwania. Sygnały kodowane charakteryzuja sie wartosciaTB wieksza od jednosci. Parametr TB okresla takze mozliwy do uzyskania wzroststosunku sygnał-szum po kompresji czasowej i jest zwiazany z zyskiem filtru dopa-sowanego (patrz podrozdział 2.1.2.).

Sygnały kodowane mozemy podzielic na ciagłe i dyskretne w zaleznosci od ty-pu zmian charakterystyki sygnału w czasie. Wyrózniamy trzy podstawowe metodymodulacji analogowej (ciagłej):

1Przyblizenie dla fizycznie realizowalnych sygnałów o skonczonym czasie trwania i ograniczonympasmie. Teoretycznie sygnały o skonczonym czasie trwania maja nieskonczenie szerokie pasmo.

http://rcin.org.pl

Page 39: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

2.1. Sygnały kodowane 39

czêstotliwoœæ

T

f0

f + (1 / T )0f (1 / T )0 –

?-∞

Rysunek 2.1.: Zwiazek długosci impulsu z jego spektrum amplitudowym(na podstawie [68])

• AM (ang. Amplitude Modulation — modulacja amplitudy)

• FM (ang. Frequency Modulation — modulacja czestotliwosci)

• PM (ang. Phase Modulation — modulacja fazy)

oraz odpowiadajace im metody modulacji binarnej [142]:

• ASK (ang. Amplitude Shift Keying — kluczowanie amplitudy)

• FSK (ang. Frequency Shift Keying — kluczowanie czestotliwosci)

• PSK (ang. Phase Shift Keying — kluczowanie fazy)

Najpopularniejszy sygnał kodowany chirp jest sygnałem ciagłym otrzymanymprzez liniowa modulacje czestotliwosci. Kody algebraiczne (np. kody Barkera, Go-laya) naleza do kategorii sygnałów binarnych i do ich generacji uzywa sie metodmodulacji binarnej. Podstawowe własnosci najczesciej stosowanych sygnałów ko-dowanych przedstawiono w kolejnych podrozdziałach.

Immanentna cecha transmisji kodowanej jest powstawanie listków bocznychw procesie kompresji czasowej, które moga maskowac połozone blisko siebie echa.Do charakteryzacji tego efektu stosuje sie nastepujace parametry:

• PSL (ang. Peak-to-Sidelobe Level) — stosunek maksymalnej amplitudy list-ków bocznych do listka głównego

PSL = 10log10

(Max(x2

i )

x20

), (2.2)

http://rcin.org.pl

Page 40: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

40 2. Transmisja kodowana

• ISL (ang. Integrated Sidelobe Level) — stosunek całkowitej energii listkówbocznych do energii listka głównego

ISL = 10log10

(∑Ni=−N x2

i

x20

), (2.3)

gdzie: x0 jest amplituda listka głównego, xi sa amplitudami listków bocznych i dłu-gosc sygnału wynosi 2N .

2.1.1.1. Sygna l chirp

Sygnał chirp, zwany takze sygnałem z liniowa modulacja czestotliwosci LFM(ang. linear frequency modulation) był pierwszym typem sygnału stosowanympraktycznie w technice radarowej.

Faza sygnału o liniowej modulacji czestotliwosci ma zaleznosc kwadratowa odczasu:

Φ(t ) = 2π(

f0t + µ

2t 2

)dla − T

2É t É T

2, (2.4)

gdzie: f0 — czestotliwosc srodkowa sygnału, parametr µ= 2πB/T .Korzystajac ze standardowej funkcji okna prostokatnego o szerokosci jednostko-

wej (Rect) sygnał chirp w zapisie zespolonym przyjmuje postac:

chirp(t ) = Rect

(t

T

)e2πi ( f0t+ µ

2 t 2). (2.5)

Na rys. 2.2 przedstawiono sygnały chirp o rosnacej i malejacej liniowo w czasieczestotliwosci.

Skompresowany sygnał chirp ma obwiednie o kształcie funkcji sinc, co wynikabezposrednio z kształtu prostokatnego okna czasowego (wzór 2.5). Wynikiem tegojest wysoki poziom listków bocznych (PSL = 13dB), który jest niezalezy od iloczy-nu TB. Metoda obnizenia poziomu listków bocznych jest zastosowanie okien am-plitudowych w dziedzinie czasu lub czestotliwosci — tzw. filtracja niedopasowana.Wyczerpujacy przeglad okien i ich charakterystyk opracował Harris [52]. W tabe-li 2.1 przedstawiono parametry kilku najczesciej stosowanych w technice radarowejokien. Jak widac zastosowanie okien pozwala na znaczace obnizenie poziomu list-ków bocznych (ponizej −40 dB) ale kosztem poszerzenia listka głównego oraz nie-wielkim spadkiem stosunku sygnał-szum. Istnieja takze alternatywne metody mi-nimalizacji poziomu PSL — np. nieliniowa modulacja czestotliwosci sygnału chirp,które zostały szczegółowo opisane w literaturze radarowej [82, 15, ?]. Modulacjanieliniowa pozwala na kształtowanie widma sygnału chirp w celu dopasowania godo pasma nadajnika (anteny, przetwornika) przy zachowaniu prostokatnego oknaprzebiegu czasowego oraz optymalnej filtracji dopasowanej.

http://rcin.org.pl

Page 41: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

2.1. Sygnały kodowane 41

czas

czêstotliwoœæ

T

B f0 czas

T

B f0

czêstotliwoœæ

Rysunek 2.2.: Zaleznosci czasu i czestotliwosci dla sygnału chirp o rosnacej (lewy) i malejacej(prawy) czestotliwosci

Tabela 2.1.: Charakterystyka kompresji czasowejdla wybranych okien amplitudowych [52, 15]

Funkcja okna PSL [dB] Wzgledna szerokosc Zmianalistka głównego SNR [dB]

Prostokatne 13 1,0 0Hamminga 43 1,5 −1,34(Kosinusowe)2 32 1,59 −1,76(Kosinusowe)3 39 1,41 −2,38Dolph-Czebyszewa 40 1,41 −1,20

2.1.1.2. Kody Barkera

Kody Barkera sa kodami algebraicznymi, które dla danej długosci N charakte-ryzuja sie funkcja autokorelacji o maksimum równym N przy maksymalnym po-ziomie listków bocznych równym 1. W praktycznych zastosowaniach kody algebra-iczne nie sa nadawane bezposrednio lecz moduluja binarnie amplitude, faze lubczestotliwosc sygnału nosnego. Na rys. 2.3 pokazano najczesciej stosowana binar-na modulacje fazowa, w której zmiany wartosci kodu powoduja zmiane fazy sygnałunosnego o ±π.

Podstawowa wada kodów Barkera jest to, ze wystepuja jedynie kody o długo-sciach 2, 3, 4, 5, 7, 11 i 13 bitów (tabela 2.2). Dla kodu o maksymalnej dostepnejdługosci 13-bitów poziom listków bocznych wynosi −22,3 dB. W zastosowaniachgdzie potrzebne sa dłuzsze kody stosuje sie produkt Kroneckera dwóch krótszychkodów — dla dwóch kodów Barkera o długosciach N i M ich iloczyn Kroneckera

http://rcin.org.pl

Page 42: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

42 2. Transmisja kodowana

1 1 1 -1 -1 1 1

Rysunek 2.3.: 7-bitowy kod Barkera oraz modulowany nim fazowo sygnał sinusoidalny(na podstawie [68])

ma długosc N ·M . Niestety dla takich kodów listki boczne sa wieksze od 1.

Tabela 2.2.: Kody Barkera [68]

Symbol kodu Długosc kodu Kody PSL [dB]

B2 2 1,−1, 1,1 6,0B3 3 1,1,−1 9,5B4 4 1,1,−1,1, 1,1,1,−1 12,0B5 5 1,1,1,−1,1 14,0B7 7 1,1,1,−1,−1,1,−1 16,9B11 11 1,1,1,−1,−1,−1,1,−1,−1,1,−1 20,8B13 13 1,1,1,1,1,−1,−1,1,1,−1,1,−1,1 22,3

2.1.1.3. Kody Golaya

Kody Golaya naleza do szerszej klasy komplementarnych kodów algebraicznych.Kody komplementarne składaja sie z pary kodów o długosci N , których funkcje au-tokorelacji maja listki boczne o tej samej wartosci ale przeciwnych znakach. Dziekitemu suma funkcji autokorelacji posiada listek główny o amplitudzie 2N i całko-wity brak listków bocznych. Opis i metody generacji kodów Golaya mozna znalezcw pracy Borwein [20]. Dostepnosc algorytmów generacji kodów pozwala na łatwetworzenie arbitralnie długich sekwencji.

Proces nadawczo-odbiorczy oraz kompresji kodów Golaya przebiega nastepuja-co:

1. Nadanie kodu A (pierwszy z pary kodów).

2. Odbiór ech dla kodu A.

3. Nadanie kodu B (drugi z pary kodów).

4. Odbiór ech dla kodu B .

5. Filtracja dopasowana sygnałów ech dla kodu A oraz B .

http://rcin.org.pl

Page 43: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

2.1. Sygnały kodowane 43

Echo dla kodu A

Filtr dopasowany dla kodu A

=

Echo dla kodu B

Filtr dopasowany dla kodu B

Echo A po kompresji Echo B po kompresji

=

* *

+

Rysunek 2.4.: Algorytm kompresji kodów Golaya

http://rcin.org.pl

Page 44: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

44 2. Transmisja kodowana

6. Sumowanie koherentne skompresowanych czasowo sygnałów ech A i B .

Na rys. 2.4 przedstawiono algorytm kompresji i przebiegi czasowe dla 16-bitowychkodów Golaya.

Nalezy zaznaczyc, ze podwójne nadawanie wiaze sie z dwukrotnym wydłuze-niem czasu akwizycji sygnału oraz podwójna kompresja czasowa. Ponadto wszelkiruch celu pomiedzy kolejnymi transmisjami powoduje pojawienie sie listków bocz-nych wynikajacych z dekorelacji pomiedzy echami.

2.1.1.4. Inne kody

Poza przedstawionymi powyzej najczesciej stosowanymi sygnałami kodowany-mi w niektórych zastosowaniach spotyka sie jeszcze inne rodzaje kodów m.in. [48]:

Optymalne kody binarne — charakteryzujace sie minimalnym poziomem listkówbocznych sposród wszystkich kodów o danej długosci N — zwane takze ko-dami MPS (ang. Minimum Peak Sidelobe). Kody optymalne sa znajdowaneprzez przeszukanie wszystkich mozliwych kombinacji bitowych. Poziom list-ków bocznych wynosi 2 lub wiecej; przypomnijmy, ze dla kodów Barkera byłrówny 1.

Kody pseudo przypadkowe — zwane takze MLS (ang. Maximum Length Sequen-ces) sa ciagami zero-jedynkowymi charakteryzujacymi sie statystyka rozkła-du losowego. Ciagi MLS, ze wzgledu na sposób ich generacji, sa faktycznieperiodyczne, czyli powtarzaja sie po pewnej z góry okreslonej liczbie elemen-tów. Funkcja autokorelacji tych kodów o długosci L przyjmuje wartosc:

ϕ(n) =

L dla n = 0,±L,±2L, . . .

−1 wszedzie indziej.(2.6)

Kody MLS sa generowane za pomoca rejestrów przesuwnych ze sprzezeniemzwrotnym LFSR (ang. Linear Feedback Shift Register) — rys. 2.5. Dla niektó-rych kombinacji sprzezen zwrotnych rejestr LFSR jest rejestrem o tzw. mak-symalnej długosci, który przechodzi przez wszystkie N = 2p −1 stanów, gdziep jest liczba bitów rejestru. Rejestry LFSR sa szeroko stosowane w telekomu-nikacji (poszerzanie widma, generacja szumu białego, maskowanie), krypto-grafii (generacja kluczy, generatory losowe) i technice cyfrowej (szybkie licz-niki, generatory wektorów testowych). Duza zaleta LFSR jest ich prosta kon-strukcja i łatwa implementacja w strukturach FPGA [?]

http://rcin.org.pl

Page 45: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

2.1. Sygnały kodowane 45

21

Rejestr przesuwny

Wyjście3 4

XO

R

Rysunek 2.5.: Układ 4-bitowego rejestru LFSR — generatora ciagu MLS o długosci 15

2.1.2. Filtracja dopasowana

Filtr dopasowany jest niezmienniczym w czasie filtrem liniowym, który stanowirozwiazanie zagadnienia optymalizacji stosunku sygnał-szum na wyjsciu odbiorni-ka w obecnosci białego szumu gaussowskiego [134].

Przyjmujac gestosc mocy szumu N0/2 [W/Hz] i pasmo odbiornika B , moc szumuw pasmie filtru dopasowanego mozna zapisac [68]

Ni = 2N0

2B. (2.7)

Dla sygnału o energii całkowitej E i czasie trwania T srednia energia sygnałuwynosi

Si = E

T. (2.8)

Obliczajac stosunek sygnał-szum na wejsciu filtru dopasowanego otrzymujemy

SNRi = Si

Ni= E

N0T B. (2.9)

Korzystajac z własnosci autokorelacji SNR na wyjsciu filtru dopasowanego wy-nosi

SNRo = 2E

N0. (2.10)

Porównujac 2.9 z 2.10 otrzymujemy

SNRo

SNRi= 2T B. (2.11)

Czynnik TB o jaki nastepuje zwiekszenie wyjsciowego SNR nazywany jestwzmocnieniem filtru dopasowanego lub wzmocnieniem kompresji.

http://rcin.org.pl

Page 46: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

46 2. Transmisja kodowana

2.2. Sygna ly kodowane w ultrasonografii

Zainteresowanie transmisja kodowana w technice ultradzwiekowej zaczeło siew latach 1970 i dotyczyło metod dopplerowskich, badan nieniszczacych oraz obra-zowania [31]. Współczesnie zagadnieniami kodów w zastosowaniach obrazowychzajmowali sie m.in.: Rao [116, 117], O’Donnel [101], Misaridis, Pedersen i Jen-sen [81, 107, 80] oraz naukowcy Zakładu Ultradzwieków IPPT [92, 95, 133, 65, 93,63, 91, 64, 96].

W ultrasonografii zastosowanie kodowania ma ten sam cel, co w technice ra-darowej — zwiekszenie jakosci/głebokosci obrazowania bez zwiekszania szczyto-wej amplitudy sygnałów nadawczych. Amplitudy cisnienia stosowane w obecnychaparatach komercyjnych zblizaja sie do wartosci maksymalnych okreslonego przeznormy bezpieczenstwa. Dlatego, istotne jest, ze zwiekszanie energii w procesie ko-dowania odbywa sie nie poprzez zwiekszanie amplitudy impulsu, a poprzez czastrwania sygnału. Obecnie w ultradzwiekowej transmisji kodowanej stosuje sie głów-nie sygnały z liniowa modulacja czestotliwosci (tzw. chirp) oraz z dyskretna modu-lacja fazy za pomoca kodów algebraicznych (np.: kody Barkera, kody Golaya).

Nalezy zaznaczyc, ze zastosowanie kodów w ultrasonografii rózni sie znacza-co od techniki radarowej — nie jest równie efektywne oraz wymaga dodatkowychzabiegów i optymalizacji w celu uzyskania dobrych rezultatów. Chodzi tu przedewszystkim o specyfike zwiazana z falami ultradzwiekowymi oraz ograniczeniamitechnicznymi:

• Silna zaleznosc tłumienia od czestotliwosci w osrodkach biologicznych po-wodujaca zniekształcenia nadawanych sygnałów kodowanych, a przez to ichniedopasowanie i suboptymalna kompresje czasowa.

• Nieliniowa propagacja fal w osrodkach biologicznych, która powoduje prze-pływ czesci energii fali do wyzszych harmonicznych, a tym samym znie-kształcenie ech i ich dekorelacje z sygnałem nadawczym.

• Ograniczone pasmo przetworników ultradzwiekowych, które uniemozliwianadawanie kodów o zbyt szerokim widmie, przez co ogranicza mozliwy douzyskania zysk TB.

• Znacznie ograniczona maksymalna długosc stosowanych kodów, a przez tozysku TB, która wynika z mozliwej do zaakceptowania strefy martwej 2 obra-zowania.

2 Strefa martwa to obszar od czoła głowicy do pewnej głebokosci, który nie moze byc obrazowany.Wielkosc tej strefy wynika z czasu trwania nadawanego kodu — cały kod musi zostac nadany zanimmozna zaczac odbierac echa.

http://rcin.org.pl

Page 47: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

2.2. Sygnały kodowane w ultrasonografii 47

Ograniczenia maksymalnego czasu trwania i pasma sygnału powoduja, ze za-kres mozliwego do uzyskania iloczynu TB w ultrasonografii jest rzedu 100, podczasgdy w radarach rzedu 1000. Nieliniowa propagacja oraz tłumienie w tkance, którezniekształcaja sygnały ech, powoduja, ze efektywna wartosc TB dla sygnału kodo-wanego zmniejsza sie z głebokoscia. Przykładowo Rao [116] wykazał, ze dla sygnałuchirp o pasmie 2,12 MHz i czasie trwania 12,5µs, tj. TB = 20 w osrodku o tłumie-niu 0,86 dB/MHz/cm efektywna wartosc TB spada do ok. 5 na głebokosci 5 cm. Raowskazywał takze na mozliwosc filtracji niedopasowanej zaleznej od głebokosci — tj.uwzgledniajacej zmiany kształtu widma echa spowodowane tłumieniem w tkance.W ultrasonografii kodowanej mamy do czynienia z trzema zródłami szumu: szumelektroniczny (toru odbiorczego oraz przetwornika A/C), szum ziarna (speckle) orazszum korelacyjny zwiazany z wystepowaniem listków bocznych po kompresji cza-sowej — ten ostatni nie wystepuje przy klasycznym nadawaniu krótkich impulsów.Szum ziarna dominuje w obrazie tkanki na mniejszych głebokosciach, natomiast poprzekroczeniu wartosci krytycznej głebokosci (zaleznej od stosowanej czestotliwo-sci ultradzwieków) zaczyna dominowac szum odbiornika. Własnie w tym regioniewzmacniajace działanie kodów jest najbardziej pozadane.

Bardzo istotnym, z punku widzenia zastosowan obrazowych, jest poziom szu-mu wprowadzanego przez filtracje dopasowana (ang. self-clutter). Poziom listkówbocznych przekłada sie bezposrednio na rozróznialnosc blisko siebie połozonychech (struktur). Poniewaz standardowy poziom listków bocznych dla sygnału chirpwynosi jedynie −13 dB i jest nieakceptowalny dla obrazowania, wiele prac zostałoposwieconych zagadnieniom i metodom ich minimalizacji [80, 17, 49, 103, 102] Be-har i Adam [17] oraz Oelze [103, 102] rozpatrywali rózne metody filtracji niedopaso-wanej dla sygnałów chirp w celu minimalizacji PSL. Behar i Adam [17] uzyskali dlazoptymalizowanego sygnału chirp o czestotliwosci centralnej 8 MHz PSL = 94dBi zysk stosunku sygnał-szum 21 dB. Oelze [103, 102] stosował equalizacje sygnałuchirp w celu kompensacji spadku amplitudy na krancach pasma przenoszenia prze-twornika. Dla przetwornika o czestotliwosci 2,25 MHz uzyskał on niemal dwukrot-ne zwiekszenie efektywnego pasma toru nadawczo/odbiorczego (z 56% do 113%),co pozwoliło zwiekszyc rozdzielczosc podłuzna z 0,44 mm do 0,31 mm przy zacho-waniu poziomu listków bocznych ponizej −45 dB oraz zysku sygnał-szum powyzej9 dB. W rzeczywistych zastosowaniach ograniczone pasmo przetworników ultradz-wiekowych działa jak amplitudowa funkcja okienkujaca i powoduje automatyczneobnizenie listków bocznych. Otrzymanie optymalnej filtracji niedopasowanej wy-maga uwzglednienia kształtu pasma przetwornika. Inna metoda kontroli pasma sy-gnałów chirp oraz poziomu PSL jest zastosowanie nieliniowej modulacji czestotli-wosci (tzw. nieliniowy chirp). Misaridis [80] oraz Gran [49] rozwazali zagadnienieoptymalnego dopasowania pasma sygnału pobudzajacego do pasma przetworni-

http://rcin.org.pl

Page 48: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

48 2. Transmisja kodowana

ka dla sygnału chirp. Nieliniowy sygnał chirp pozwolił na uzyskanie, bez potrzebystosowania filtracji niedopasowanej, PSL = 80dB oraz 7 dB zysk SNR w stosunku doliniowego sygnału chirp.

Kody fazowe charakteryzuja sie znacznie szerszym pasmem, trudniejsza kontro-la nad poziomem listków bocznych, wieksza czułoscia na przesuniecie dopplerow-skie oraz mniejsza odpornoscia na zniekształcenia wywołane tłumieniem zaleznymod czestotliwosci. Podnoszac te argumenty niektórzy naukowcy (np. Misaridis [80])stoja na stanowisku, ze kody fazowe w ogóle nie nadaja sie do zastosowan w ultraso-nografii. Inni badacze wskazuja na duza skutecznosc, a czasami nawet na przewa-ge kodów fazowych. Przykładowo Chiao [30] porównywał zastosowanie zoptymali-zowanego sygnału chirp i kodów Golaya do obrazowania. Wykazał on w symulacjiuwzgledniajacej tłumienie i propagacja nieliniowa, ze przy jednakowej rozdzielczo-sci podłuznej, kod Golaya zapewniał w stosunku do sygnału chirp o 7 dB wyzszystosunek sygnał-szum oraz poziom listków bocznych gorszy o jedynie 3 dB. Bada-nia prowadzone przez autora oraz współpracowników wskazuja, ze kody fazowe(w szczególnosci kody Golaya) charakteryzuja sie duza odpornoscia na zniekształ-cenia spowodowane ograniczonym pasmem głowicy oraz zadowalajaca odporno-scia na efekty tłumienia w tkance i nadaja sie do obrazowania w zakresie wysokichczestotliwosci ultradzwiekowych. Słaba odpornosc na efekt Dopplera nie stanowiproblemu w zastosowaniach obrazowych.

2.2.1. Aspekty techniczne

Praktyczna implementacja techniki transmisji kodowanej w ultrasonografii wy-maga powaznych modyfikacji toru przetwarzania analogowego i cyfrowego aparatu(wymagane zmiany oraz schemat blokowy ultrasonografu z transmisja kodowanaprzedstawiono w podrozdziale 4.1.1.).

Do najwazniejszych zmian nalezy zaliczyc: eliminacje analogowej kompresji lo-garytmicznej w torze odbiorczym (wymóg liniowosci), duza dynamike przetwarza-nia A/C (wynikajaca z liniowosci toru odbiorczego) oraz wymagajaca duzej mocyobliczeniowej kompresje czasowa sygnałów ech w.cz.. Zmiany te sa na tyle powaz-ne, ze do dnia dzisiejszego transmisja kodowana, pomimo swoich niewatpliwychzalet, nie jest standardowa opcja nawet w wyzszej klasie aparatów [44].

W zaleznosci od rodzaju stosowanych kodów tor nadawczy dla transmisji kodo-wanej musi byc zakonczony wzmacniaczem liniowym mocy (dla sygnałów chirp)lub układem impulsowym zdolnym do generacji impulsów z modulacja fazy (dlakodów fazowych). O ile układy nadajników impulsowych sa dosc proste w realiza-cji i energooszczedne, to liniowe wzmacniacze mocy wymagaja znacznie bardziejzłozonych układów oraz charakteryzuja sie wyzszym poborem pradu. Pollakowski

http://rcin.org.pl

Page 49: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

2.2. Sygnały kodowane w ultrasonografii 49

i Ermert [112] zaproponowali sygnał pseudochirp bedacy sygnałem prostokatnymo liniowo zmieniajacym sie okresie. Układ generacji i wzmocnienia tego typu sy-gnału jest znaczaco prostszy od układów potrzebnych do generacji sygnału chirp,a efekt jego stosowania zblizony do standardowego sygnału chirp.

Do generacji sygnałów z modulacja czestotliwosci oraz fazy moze byc stosowa-na metoda bezposredniej syntezy cyfrowej DDS (ang. Direct Digital Synthesis) [7].Metoda DDS (rys. 2.6) korzysta z rejestru akumulatora fazy, który w kazdym cykluzegara systemowego jest zwiekszany o zadana wartosc (słowo sterujace). Wartoscrejestru fazy jest konwertowana na cyfrowa wartosc amplitudy sygnału sinusoidal-nego, a nastepnie na sygnał analogowy przez przetwornik C/A.

Konwerter

faza/

amplituda

Akumulator

fazyWyjście

Przetwornik

C/A+

Zegar

systemowy

Słowo

sterujące

Rysunek 2.6.: Schemat blokowy układu bezposredniej syntezy cyfrowej DDS (na podsta-wie [7])

Czestotliwosc wyjsciowa układu DDS wynosi

Fwyj. = M · CLK

2N, (2.12)

gdzie: M — słowo sterujace (przyrost fazy), CLK — czestotliwosc zegara systemo-wego, N — liczba bitów rejestru akumulatora fazy.

Rozdzielczosc czestotliwosci DDS zalezy od liczby bitów akumulatora fazy(CLK /2N ). W praktycznych implementacjach stosuje sie rejestry o długosci 16–32-bitów, co zapewnia bardzo precyzyjne strojenie. Wykorzystanie układów DDS dogeneracji sygnałów modulowanych fazowo sprowadza sie do programowania sło-wa sterujacego według zadanej krzywej w czasie. Generatory DDS sa obecnie po-wszechnie stosowane i dostepne w postaci gotowych układów scalonych lub im-plementowane w układach FPGA [38]. Innym sposobem generacji sygnałów jest cy-frowy generator arbitralny, który pozwala na wytwarzanie sygnałów o dowolnymkształcie. Układy generatorów arbitralnych sa najczesciej realizowane w struktu-rach FPGA za pomoca bloku pamieci, w której składowana jest sekwencja próbek.

http://rcin.org.pl

Page 50: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

50 2. Transmisja kodowana

Osobnym zagadnieniem jest realizacja kompresji czasowej ech. W systemachradarowych stosuje sie analogowe filtry z fala powierzchniowa SAW (ang. SurfaceAcoustic Wave), które realizuja proces kompresji. Poczatkowo w zastosowaniach ul-tradzwiekowych takze próbowano stosowac analogowe metody przetwarzania aleszybko okazały sie one niewystarczajace. Analogowe filtry wszechprzepustowe dokompresji sygnału chirp były badane symulacyjnie i praktycznie przez Nowickie-go [92] oraz Trotsa [132]. Pasywne filtry tego typu sa wielostopniowe i wymagajabardzo duzej dokładnosci elementów filtru. Ponadto wymagana jakosc montazu,duza wrazliwosc na zmiany temperatury i starzenie sie elementów powoduja, zeich stosowanie jest niepraktyczne.

Obecnie w zakresie czestotliwosci ultradzwiekowych mozliwe jest bezposredniecyfrowe próbkowanie sygnału w.cz. i jego cyfrowa obróbka. W praktyce stosuje siepróbkowanie z czestotliwoscia 2–5 razy wyzsza od czestotliwosci podstawowej ul-tradzwieków. Wymóg liniowosci toru przetwarzania oraz wysoka dynamika sygna-łów ech ultradzwiekowych wymaga stosowania przetworników A/C o rozdzielczosci12–14-bitów. Spróbkowane dane musza byc nastepnie poddane cyfrowej kompresjiczasowej (filtracji dopasowanej). Stosuje sie tutaj albo metode korelacyjna działaja-ca w dziedzinie czasu lub metode oparta na transformacie FFT. Metoda FFT polegana obliczaniu korelacji w dziedzinie czestotliwosci przez mnozenie transformat sy-gnału oraz kodu (wzorca). W kazdym przypadku dla uzyskania obrazowania w cza-sie rzeczywistym wymagana jest bardzo duza predkosc obliczen. Metoda korelacyj-na ma koszt obliczeniowy O(N ·M), gdzie N jest długoscia sygnału, a M długosciakodu. Dla obrazu składajacego sie z 200 linii sygnału w.cz. o długosci 2000 próbekkazda, długosci kodu 250 próbek i predkosci obrazowania 10 Hz nalezy wykonac200 ·(2000 ·250) ·10 = 1 ·109 operacji na sekunde! Dla kodów binarnych mozliwe jestzmniejszenie liczby operacji przez wstepna demodulacje na czestotliwosci podsta-wowej i decymacje sygnału ech.

2.2.2. Wp lyw pasma g lowicy na sygna ly kodowane

Głowica ultradzwiekowa stanowi filtr srodkowoprzepustowy dla sygnałównadawczych oraz odbieranych ech. Ograniczenie pasma efektywnie działa jak filtrniedopasowany dla sygnałów chirp i obniza poziom listków bocznych. Dobór pa-sma sygnału chirp do dostepnego pasma głowicy jest stosunkowo prosty i zostałprzedstawiony w pracach Behara [17] i Misaridisa [80].

Mozliwosci optymalizacji pasma sygnałów kodowanych fazowo sa ograniczo-ne. Jedna z metod polegajaca na zwiekszeniu liczby okresów przypadajacych na je-den bit kodu Golaya była przebadana przez Nowickiego i współpracowników [96].Stwierdzono, ze dla 8-bitowych kodów Golaya i przetworników o pasmie 25%, 58%,

http://rcin.org.pl

Page 51: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

2.2. Sygnały kodowane w ultrasonografii 51

80% ograniczenie pasma kodu Golaya przez wydłuzenie do dwóch okresów długo-sci jednego bitu powoduje zwiekszenie amplitudy ech o odpowiednio 1,88 ; 1,62 ;1,47 razy. Badania były przeprowadzone w wodzie przy odbiciu od reflektora pła-skiego.

2.2.3. Rozk lady pola dla pobudzen kodowanych

W ramach prac badawczych dotyczacych zastosowan transmisji kodowanej au-tor i współpracownicy badali rozkłady pól akustycznych dla pobudzen klasycznychoraz kodowanych [91]. Kształt pola przetwornika ultradzwiekowego ma podstawo-we znaczenie dla wiekszosci aplikacji, a szczególnie dla technik obrazowych.

Punktowa funkcje rozmycia PSF (ang. Point Spread Function) dla sygnałów chirpbadał Rao [117]. Porównał on zachowanie funkcji PSF dla pobudzenia klasyczne-go oraz sygnłu chirp o pasmie dobranym do przetwornika (czestotliwosc centralna2 MHz, pasmo 1,43 MHz). Rao uzyskał duza zgodnosc funkcji PSF dla obu typówpobudzen w zakresie dynamiki 0–60 dB w wodzie.

W Zakładzie Ultradzwieków przebadano i porównano przestrzenne rozkłady po-la ultradzwiekowego dla pieciu róznych sygnałów nadawczych:

1. sygnały sinusoidalne 2 i 16 okresów,

2. sygnał z liniowa modulacja czestotliwosci (chirp) o czasie trwania 8µs i pa-smie 1 MHz,

3. sygnał modulowany fazowo kodem Barkera 13-bitowym,

4. sygnał modulowany fazowo 16-bitowym komplementarnym kodem Golaya.

Pomiary rozkładu pola wykonano za pomoca automatycznego skanujacego sys-temu pomiarowego "Wanna" zbudowanego w naszym laboratorium. Oprogramo-wanie systemu (zrealizowane w całosci przez autora) steruje praca elektromecha-nicznego systemu pozycjonowania oraz oscyloskopu cyfrowego słuzacego do zbie-rania sygnałów z hydrofonu. Po okresleniu parametrów geometrycznych (odległo-sci głowicy od hydrofonu, zakresu skanowania, rastra pomiaru) system automatycz-nie skanuje zadany obszar dokonujac pomiaru szczytowego cisnienia w kazdympunkcie. Dodatkowo korzystano z opcji zapamietywania całego przebiegu impul-su cisnienia (dla sygnałów kodowanych).

Rysunek 2.7 przedstawia schemat systemu pomiarowego. Elektromechanicznysystem skanujacy porusza głowica zamocowana w uchwycie w zbiorniku z woda.Generator arbitralny (LeCroy 9109) słuzył do wytwarzania przebiegów nadawczych,które po wzmocnieniu we wzmacniaczu mocy (ENI 3100LA) trafiały do głowicy.Po stronie odbiorczej sygnał z szerokopasmowego hydrofonu PVDF (Sonora So-nic 804–201) był wzmacniany w odbiorniku (Ritec BR-640) i trafiał do oscylosko-

http://rcin.org.pl

Page 52: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

52 2. Transmisja kodowana

pu cyfrowego (Hewlett-Packard 54503A). Całosc sterowania, skanowania i akwizy-cji sygnału znajduje sie pod kontrola oprogramowania pracujacego na kompute-rze PC. Do badan uzyto płaskiej głowicy o czestotliwosci 2 MHz, pasmie 50% i sred-nicy 15 mm.

Kontroler skanera

ISEL

Wzmacnaicz

mocy

ENI 3100LA

Generator

LeCroy 9109

PC

HPIB

Odbiornik

Ritec BR-640

Oscyloskop

HP 54503A

Skaner

HPIB

HydrofonGłowica

Wanna

RS-232

Rysunek 2.7.: Schemat systemu do pomiaru rozkładów pól przetworników ultradzwiekowych

Otrzymane dane słuzyły do wykreslenia pól cisnienia (rys. 2.8). Dla pobudzensinusoidalnych pole wykreslano na podstawie szczytowej wartosci cisnienia. Dlasygnałów kodowanych pokazano dwa rozkłady pól: pierwszy (fizyczny) pokazujacyszczytowe cisnienia i drugi (wirtualny) pokazujacy rozkład dla sygnału po kompresjiczasowej. Nalezy zaznaczyc, ze rozkłady wirtualne pola nie maja znaczenia fizycz-nego jesli chodzi o wartosci amplitudy cisnienia. Natomiast odpowiadaja one poluwidzianemu przez system obrazujacy wykorzystujacy transmisje kodowana.

Zaobserwowano (rys. 2.8), ze kształt pola dla sygnałów kodowanych i nieskom-presowanych jest podobny do kształtu pola dla pobudzenia długim sygnałem sinu-soidalnym. Natomiast kształt pola wirtualnego dla sygnałów kodowanych przypo-mina pole dla pobudzenia krótkim impulsem sinusoidalnym. Dla długich sygnałówprzestrzenne listki boczne rozkładu sa znacznie mniejsze. Dodatkowo procedurakompresji czasowej dała zysk stosunku sygnał/szum na poziomie 19,5 dB dla sy-

http://rcin.org.pl

Page 53: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

2.2. Sygnały kodowane w ultrasonografii 53

gnału chirp, 15,2 dB dla kodu Barkera i 20,5 dB dla kodów Golaya.Najwazniejszym wnioskiem przeprowadzonych badan jest potwierdzenie zgod-

nosci kształtu przestrzennych rozkładów pola przetwornika dla pobudzen krótkimimpulsem oraz sygnałem kodowanym po dokonaniu kompresji czasowej. Pozwalato na zastosowanie transmisji kodowanej w obrazowaniu i daje mozliwosc bezpo-sredniego porównywania obrazów uzyskiwanych przy pomocy róznych sygnałównadawczych.

Opracowana technika i układ pomiarowy pozwola na dalsze badania konkret-nych przetworników i metod nadawania w celu ich optymalizacji do zastosowanobrazowych.

2.2.4. Podsumowanie

Transmisja kodowana pozwala na zwiekszenie energii sygnału, a przez to sto-sunku sygnał/szum odbieranych ech przy zachowaniu bezpiecznych poziomównadawania (szczytowego cisnienia). Niestety jej praktyczna implementacja wymagapowaznych zmian w aparatach oraz dostosowania i optymalizacji metod zaczerp-nietych z techniki radarowej.

Badanie, porównanie i optymalizacja sygnałów kodowanych w ultrasonografiiwymaga uniwersalnego aparatu, który umozliwia nadawanie arbitralnych sygna-łów nadawczych, akwizycje ech w.cz. oraz przetwarzanie cyfrowe za pomoca łatwomodyfikowalnych filtrów dopasowanych i niedopasowanych. Koncepcja tego typuurzadzenia została opracowana i zrealizowana w ramach tej pracy.

Zagadnienia zastosowania transmisji kodowanej w technice ultradzwiekowejmozna podsumowac w nastepujacych punktach [116, 80]:

• Specyfika propagacji fal ultradzwiekowych w tkankach biologicznych — tłu-mienie zalezne od czestotliwosci oraz propagacja nieliniowa, powoduja,ze skutecznosc transmisji kodowanej jest mniejsza niz w zastosowaniach ra-darowych. Tłumienie powoduje takze, ze filtracja dopasowana do sygnałunadawczego traci dopasowanie z głebokoscia, a tym samym mozliwy do uzy-skania zysk SNR.

• Kody fazowe charakteryzuja sie nagłymi zmianami fazy, co powoduje, ze ichwidmo zawiera wysokie harmoniczne, które nie sa przenoszone przez prze-tworniki ultradzwiekowe. Obciecie tych wysokich składowych widma powo-duje, ze poziom listków bocznych po kompresji czasowej jest wyzszy nizprzewidywany teoretycznie.

• Listki boczne sa immanentna cecha zwiazana z transmisja kodowana i wy-magaja stosowania metod optymalizacji kształtu widma w celu ich minima-lizacji (m.in. filtracji niedopasowanej). Poziom listków dla sygnału chirp jest

http://rcin.org.pl

Page 54: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

54 2. Transmisja kodowana

a) Sinus 16-okresów b) Sinus 2-okresy

40 60 80 100 120−30

−15

0

15

30

Z [mm]

X [m

m]

40 60 80 100 120−30

−15

0

15

30

Z [mm]

X [m

m]

c) Chirp d) Chirp skompresowany

40 60 80 100 120−30

−15

0

15

30

Z [mm]

X [m

m]

40 60 80 100 120−30

−15

0

15

30

Z [mm]

X [m

m]

e) Kod Barkera f) Kod Barkera skompresowany

40 60 80 100 120−30

−15

0

15

30

Z [mm]

X [m

m]

40 60 80 100 120−30

−15

0

15

30

Z [mm]

X [m

m]

g) Kod Golaya h) Kod Golaya skompresowany

40 60 80 100 120−30

−15

0

15

30

Z [mm]

X [m

m]

40 60 80 100 120−30

−15

0

15

30

Z [mm]

X [m

m]

Rysunek 2.8.: Rozkłady pól cisnienia dla róznych pobudzen kodowanychhttp://rcin.org.pl

Page 55: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

2.2. Sygnały kodowane w ultrasonografii 55

stosunkowo niezalezny od parametru TB dla ustalonego kształtu widma, copozwala na stosowanie jednej metody ich redukcji. Dla kodów fazowych po-ziom listków bocznych jest funkcja długosci kodu. Przy mozliwych do zasto-sowania w ultrasonografii długosciach kodów (< 100) oraz ograniczonemupasmu przetwornika poziom listków bocznych moze byc zbyt duzy do do-brej jakosci obrazowania.

• W porównaniu do sygnału chirp kody fazowe sa bardzo czułe na efekt Dop-plera. Kształt funkcji niejednoznacznosci powoduje, ze nawet małe przesu-niecia czestotliwosci powoduja znaczacy spadek jakosci kompresji czasowej.W zastosowaniach obrazowych nieruchomych struktur wpływ efektu Dop-plera jest nieistotny.

• Uzyskiwany w transmisji kodowanej zysk sygnał/szum odnosi sie do szumuelektronicznego (termicznego), a nie szumu ziarna (speckle). Szum ziarnabedacy szumem skorelowanym z sygnałem ech jest wzmacniany podobniejak same echa.

http://rcin.org.pl

Page 56: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

http://rcin.org.pl

Page 57: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3

Uk lady i systemy cyfrowego

przetwarzania sygna low

Cyfrowe metody przetwarzania sygnałów coraz czesciej wypieraja stosowane meto-dy analogowe. Trend ten zwiazany jest z rozwojem technologii półprzewodnikowej.Miniaturyzacja struktur układów scalonych powoduje zwiekszenie gestosci upako-wania (złozonosci) oraz czestotliwosci pracy przy jednoczesnym zmniejszeniu na-piec pracy, czyli poboru pradu i wydzielanego ciepła. Podejscie cyfrowe daje takzeszersze mozliwosci przetwarzania (składowania, przesyłania) sygnałów oraz adap-tacji algorytmów do szybko zmieniajacych sie potrzeb. Symbolem cyfryzacji swia-ta analogowego jest coraz szersze stosowanie cyfrowego przetwarzania sygnałóww.cz., które do niedawna były "zarezerwowane" dla techniki analogowej. TerminSDR (ang. Software Defined Radio — radio z przetwarzaniem softwarowym) [125]okresla konstrukcje systemów radiowych, w których proces modulacji (przy nada-waniu) i demodulacji (przy odbiorze) sygnału radiowego jest realizowany cyfrowo.Jeszcze kilka lat temu takie rozwiazania były niemozliwe technicznie lub nieopła-calne. SDR umozliwia realizacje złozonych metod kodowania i modulacji sygnałóworaz mozliwosci ich adaptacji i zmiany w urzadzeniu. Migracja do SDR została spo-wodowana przez dynamiczny rozwój telekomunikacji bezprzewodowej [119, 28],która wymaga bardzo złozonych metod obróbki sygnału w.cz.. Wiele z cyfrowychmetod przetwarzania w telekomunikacji i SDR jest takze stosowanych w innychdziedzinach (np. ultrasonografii).

Dalsza czesc rozdziału jest poswiecona przedstawieniu dostepnych systemówobróbki cyfrowej sygnałów oraz układom przetworników analogowo-cyfrowych. Zewzgledu na duze zastosowanie przetwarzania cyfrowego w ultrasonografach, wybórsposobu jego realizacji ma znaczacy wpływ na konstrukcje całego urzadzenia.

http://rcin.org.pl

Page 58: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

58 3. Układy i systemy cyfrowego przetwarzania sygnałów

3.1. Systemy cyfrowego przetwarzania sygna low

Przetwarzanie cyfrowe sygnałów wymaga układów dopasowujacych i prze-twarzajacych sygnał analogowy (przetworniki analogowo-cyfrowe i cyfrowo-analogowe) oraz systemów obliczeniowych wykonujacych sam proces obróbki cy-frowej. Systemy przetwarzania cyfrowego ze wzgledu na sposób ich realizacji moze-my podzielic na systemy softwarowe (oprogramowanie) oraz hardwarowe (sprzeto-we). Generalnie kazdy algorytm przetwarzania mozna zaimplementowac w jednejz tych dwóch postaci. Realizacja softwarowa polega na stworzeniu programu reali-zowanego przez pewien rodzaj procesora (np. procesor sygnałowy, mikrokontro-ler, itp.). Implementacja hardwarowa jest zrealizowana przez połaczenie elemen-tów sprzetowych wykonujacych okreslone, najczesciej proste funkcje (np. brameklogicznych, układów mnozacych, itp.). Granica pomiedzy tymi rozwiazaniami za-ciera sie w programowalnych układach logicznych, w których metody te mozna do-wolnie ze soba mieszac.

Główna zaleta realizacji softwarowych jest łatwosc modyfikacji kodu oraz prost-sze metody uruchamiania i debugowania kodu. Implementacje tworzone w jezy-kach wysokiego poziomu (np. C) moga byc łatwo symulowane oraz weryfikowanena róznych platformach, zanim zostana wdrozone na platformie docelowej. Obec-nie wiekszosc procesorów DSP jest programowanych w jezyku C oraz posiada za-awansowane narzedzia do kompilacji i uruchamiania kodu. Duza moc obliczenio-wa, łatwosc tworzenia i modyfikacji wysoko poziomowego kodu powoduje, ze pro-cesory DSP czesto stanowia serce przetwarzania w nowoczesnych aparatach. Alter-natywnym kierunkiem w przetwarzaniu softwarowym jest wykorzystywanie proce-sorów ogólnego przeznaczenia. Współczesne procesory komputerów PC dysponu-ja potezna moca obliczeniowa oraz specjalnymi równoległymi jednostkami wyko-nawczymi do przetwarzania multimediów. Jednostki te sa w istocie osobnymi pro-cesorami wektorowymi zoptymalizowanymi do cyfrowego przetwarzania sygnałów.Umiejetne wykorzystanie przetwarzania równoległego oraz jednostek multimedial-nych pozwala zastapic nawet kilka równolegle pracujacych procesorów DSP. Po-niewaz komputer PC czesto stanowi czesc składowa urzadzen, realizujac funkcjekontrolera oraz interfejsu uzytkownika, implementacja algorytmów przetwarzaniana PC wydaje sie naturalna. Problemem w realizacji zadan przetwarzania w czasierzeczywistym na PC sa systemy operacyjne (np. Windows), które nie sa systema-mi czasu rzeczywistego i dlatego nie gwarantuja precyzji czasowej, ani wykonaniawymaganych operacji w scisle zadanym czasie.

Nowym kierunkiem w przetwarzaniu sygnałów jest wykorzystanie procesorówgraficznych GPU (ang. Graphics Processor Unit), które standardowo słuza do ob-sługi funkcji graficznych 3D w komputerach PC. Szybki rozwój grafiki komputero-

http://rcin.org.pl

Page 59: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.1. Systemy cyfrowego przetwarzania sygnałów 59

wej spowodował powstanie procesorów graficznych o zupełnie nowych mozliwo-sciach. Procesory GPU przeistoczyły sie ze specjalizowanych procesorów grafiki 3Dw programowalne procesory wektorowe. Dzieki masywnie równoległej architektu-rze wewnetrznej oraz zaawansowanym interfejsom do pamieci wydajnosc obliczenzmiennopozycyjnych układów GPU potrafi 100 krotnie przewyzszyc wydajnosc naj-szybszych procesorów komputerów PC. Nowe narzedzia programowania proceso-rów graficznych pozwalaja na wykorzystanie tego potencjału obliczeniowego nietylko do celów graficznych, ale takze do realizacji innych zadan [1, 3].

Hardwarowe implementacje cyfrowego przetwarzania sygnałów sa obecnie zdo-minowane przez programowalne układy logiczne (FPGA). Dzieki dostepnosci ta-nich odmian układów FPGA sa one dzisiaj stosowane nie tylko w produkcji mało-seryjnej, ale takze w produktach na rynek konsumencki. Mozliwosc wprowadzaniazmian w układzie (takze w czasie pracy), bardzo duza rozpietosc gestosci układów(od tysiecy do milionów bramek) oraz coraz bardziej zaawansowane narzedzia pro-jektowe powoduja, ze układy FPGA zdobywaja coraz wieksza popularnosc. Meto-dy hardwarowe oferuja potencjalnie najwieksza predkosc przetwarzania, ale jedno-czesnie koszty ich implementacji sa najwyzsze. Dlatego czesto metody softwaro-we i hardwarowe uzupełniaja sie wzajemnie, tak aby uzyskac wymagana wydajnosci elastycznosc przy minimalizacji kosztów.

Jak widac z powyzszych rozwazan algorytmy przetwarzania cyfrowego moga bycrealizowane w rózny sposób i w oparciu o rózne rozwiazania. Optymalne wyko-rzystanie dostepnych srodków obliczeniowych oraz architektura systemu, która za-pewnia mozliwosci rozwojowe stanowia bardzo złozony problem naukowy i inzy-nierski.

3.1.1. Programowalne uk lady logiczne

Pierwsze układy FPGA zostały wprowadzone na rynek przez firme Xilinx w ro-ku 1985. Architektura układów FPGA została oparta na architekturze matrycy bra-mek (ang. gate array), która była stosowana do realizacji układów ASIC (ang. Ap-plication Specific Integrated Circuits — układów projektowanych na zamówienie).Rynek układów FPGA tworzy obecnie kilku głównych producentów (Xilinx, Altera,Lattice Semiconductor, Actel, Atmel Quicklogic) oferujac układy o gestosciach odkilku tysiecy do milionów bramek i liczbie wyprowadzen od kilkudziesieciu do po-nad tysiaca. Pomimo, ze podstawowa komórka logiczna FPGA umozliwia realizacjejedynie dosc prostych funkcji logicznych, to ich mnogosc oraz liczba połaczen po-woduje, ze mozliwosci całego systemu sa ogromne. Rozwój układów FPGA naste-puje w kierunku realizacji idei SoC (ang. System-on-a-Chip — system w układzie),czyli implementacji całego systemu w jednym układzie scalonym. Dostepnych jest

http://rcin.org.pl

Page 60: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

60 3. Układy i systemy cyfrowego przetwarzania sygnałów

bardzo wiele gotowych bloków funkcjonalnych od najprostszych (np. układów de-koderów, liczników) po bardzo złozone (np. jadra procesorów, bloki szybkich inter-fejsów). Bloki te sa dostepne albo w postaci wbudowanej w układ przez producenta(tzw. hard-core) lub dostepnych jako bloki zdefiniowane przez matryce połaczen dowykorzystania w projekcie (tzw. soft-core). Oba rodzaje bloków moga byc łaczonew projekcie z logika zdefiniowana przez projektanta tworzac docelowy system. Blokisoft-core, zwane takze blokami IP (ang. Intelectual Property — własnosc intelektu-alna) sa dostarczane z narzedziami projektowymi FPGA oraz oferowane przez firmytrzecie. Bloki hard-core to najczesciej układy szybkich interfejsów szeregowych (np.PCIe, Ethernet), układy mnozace lub mnozaco-dodajace (tzw. bloki DSP), bloki pa-mieci oraz jadra procesorów. Duza zaleta bloków hard-core jest ich niewielki koszt(rozmiar w krzemie), duza szybkosc działania oraz niski pobór pradu w porówna-niu do analogicznego bloku uzyskanego przez soft-core. Z drugiej strony bloki soft-core daja znaczaco wieksza elastycznosc przy projektowaniu, gdyz mozna umiescicich w projekcie tyle ile potrzeba (ograniczeniem jest tylko wielkosc struktury). Do-stepne obecnie układy, narzedzia i bloki IP umozliwiaja budowa kompletnych sys-temów logicznych i mikroprocesorowych tylko przy uzyciu układów FPGA, co jestwłasnie spełnieniem idei SoC. Przedstawione cechy układów FPGA pozwalaja naimplementacje przy ich pomocy złozonych i jednoczesnie elastycznych systemówcyfrowych.

3.1.1.1. Architektura wewn etrzna FPGA

Architekture układów FPGA przedstawiono na przykładzie układów z rodzinySpartan-3 firmy Xilinx (rys. 3.1). Rodzina Spartan-3 produkowana jest w technologiipółprzewodnikowej 90 nm i przeznaczona na rynek masowy (niska cena). W tabe-li 3.1 podano zestawienie parametrów wybranych układów z rodziny Xilinx Spartan-3. Cała rodzina obejmuje układy od 50 k do 5000 k bramek 1. Firma Xilinx oferujetakze rodzine układów Virtex zoptymalizowana pod wzgledem wydajnosci.

Układ FPGA składa sie z matrycy bloków logicznych oraz matrycy połaczen. Za-równo funkcjonalnosc bloków logicznych, jak i połaczen miedzy nimi jest progra-mowalna. W zaleznosci od stosowanej technologii przełaczników, połaczenia mogabyc ulotne (zanikac po wyłaczeniu zasilania) lub nieulotne. Główni producenci (Xi-linx, Altera) stosuja technologie SRAM (ang. Static Random Access Memory — pa-miec statyczna z dostepem swobodnym), w której przełaczniki sa ulotne i wymagajaprogramowania po kazdym właczeniu zasilania. Z drugiej strony, ulotnosc konfigu-racji pozwala na przeprogramowanie układu dowolna liczbe razy, takze w trakcie

1Chodzi o ekwiwalentna liczbe bramek, gdyz układy FPGA nie sa faktycznie zbudowane z prostychbramek.

http://rcin.org.pl

Page 61: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.1. Systemy cyfrowego przetwarzania sygnałów 61

Tabela 3.1.: Parametry wybranych układów z rodziny Xilinx Spartan3 [138]

Model układu XC3S200 XC3S400 XC3S1000 XC3S2000 XC3S4000

Bramki logiczne [k] 200 400 1000 2000 4000

Komórki logiczne 4 320 8 064 17 280 46 080 62 208

Bloki mnozace 12 16 24 40 96

Pamiec blokowa [kb] 216 288 432 720 1 728

Pamiec rozproszona [kb] 30 56 120 320 432

Bloki DCM 4 4 4 4 4

Liczba sygnałów wej./wyj. 173 264 391 565 712

pracy.

Elementy architektury FPGA (rys. 3.1) [136]:

CLB (ang. Configurable Logic Block — programowalny blok logiczny) jest pod-stawowym elementem architektury układu FPGA powielonym i ułozonymw postaci matrycy. Bloki CLB sa połaczone w wiersze i kolumny liniamiprogramowalnych połaczen. Pojedynczy blok CLB składa sie, w zaleznosciod modelu układu, z 2–4 komórek logicznych ułozonych w dwóch tzw.plastrach (ang. slice).

LC (ang. Logic Cell — komórka logiczna) jest najmniejszym elementem struktu-ry układu składajacym sie z cztero wejsciowego elementu LUT (ang. LookUp Table — tablica kodujaca), układu MUX (ang. Multiplexer — multiplek-ser) oraz rejestru REG (ang. Register — rejestr). Element LUT realizuje do-wolne funkcje logiczne z czterech sygnałów wejsciowych na jeden sygnałwyjsciowy. Alternatywnie LUT moze realizowac funkcje: 16-bitowej pamie-ci RAM (tzw. pamiec rozproszona) lub 16-bitowego rejestru przesuwnego.Układ MUX pozwala na uzyskanie bardziej złozonych funkcji przez przekie-rowanie sygnałów wejsciowych i wyjsciowych w ramach pojedynczej komór-ki logicznej. Rejestr REG stanowi element pamieciowy na wyjsciu komórkilogicznej.

IOB (ang. Input/Output Block — blok wejscia/wyjscia) jest interfejsem sygnałówwejscia/wyjscia z układu FPGA. Bloki IOB zawieraja rejestry (osobne dla wej-scia i wyjscia) oraz układy dopasowujace do róznego rodzaju elektrycznychstandardów cyfrowych (układ Spartan-3 wspiera 24 standardy!).

Układy mnozace sa blokami typu hard-core realizujacymi funkcje mnozenia.W układach Spartan-3 układy mnozace wspieraja 18-bitowe składniki i zwra-caja 35-bitowy rezultat. Bloki te sa wykorzystywane do realizacji algoryt-mów cyfrowego przetwarzania sygnałów. W bardziej zaawansowanych ukła-

http://rcin.org.pl

Page 62: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

62 3. Układy i systemy cyfrowego przetwarzania sygnałów

01

54

6 7

3 2

CLB

CLB

CLB

CLB

Bloki p

amięci R

AM

(BRAM)

Układ

y mnoŜące

Macierz p

rogram

owaln

ych bloków

logiczn

ych (CLB) i p

ołączeń

Bloki w

ej/wyj (IO

B)

16-bit SR

16x1 RA

M

4-input

LUT

LUT

MU

XR

EG

Komórka lo

giczn

a (LC)

Komórka lo

giczn

a

Plaster (S

lice)

Komórka lo

giczn

a

Program

owalny blok lo

giczn

y (CLB)

Komórka lo

giczn

a

Plaster (S

lice)

Komórka lo

giczn

a

Program

owalne

połączen

ia

Układ

y zegaro

we

(DCM)

Rysu

nek

3.1.:Up

roszczo

na

architektu

rau

kładu

FP

GA

zro

dzin

ySp

artan-3

firm

yX

ilinx

http://rcin.org.pl

Page 63: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.1. Systemy cyfrowego przetwarzania sygnałów 63

dach FPGA (np. Virtex) wystepuja bloki DSP zawierajace układy mnozaco-dodajace.

BRAM (ang. Block RAM) — blok pamieci jest jednym z dwóch rodzajów pamieciRAM dostepnych w układach FPGA. Pamiec blokowa umozliwia przechowy-wanie i/lub buforowanie porcji danych bezposrednio w układzie FPGA (tj.bez koniecznosci wykorzystywania pamieci zewnetrznej). Pamiec blokowamoze pracowac w konfiguracji jedno lub dwu portowej. W układach Spartan-3 bloki pamieci maja po 18 kb i moga pracowac w organizacji od 16 k x 1-bitdo 512 x 36-bit. Przy pomocy narzedzi projektowych w blokach pamieci mo-ga byc implementowane m.in.: rózne konfiguracje pamieci RAM, ROM orazukłady buforowe i kolejkujace. Drugi rodzaj pamieci to pamiec rozproszona,która jest realizowana w blokach LUT. Ten rodzaj pamieci ma bardzo ograni-czona pojemnosc ale jest za to równomiernie rozłozony w całej strukturze.

DCM (ang. Digital Clock Manager — układ zarzadzania zegarem) słuzy do zarza-dzania sygnałem zegarowym, w szczególnosci do mnozenia/dzielenia cze-stotliwosci, opózniania i synchronizacji fazowej. Funkcje tego typu sa zasad-niczo realizowane albo przez układy petli cyfrowych DLL (ang. Delay-LockedLoop) albo petli fazowych PLL (ang. Phase-Locked Loop). Firma Xilinx stosu-je petle DLL w rodzinie układów Spartan-3.

Połaczenia programowalne stanowia siatke wierszy i kolumn pozwalajacych nałaczenie sygnałów pomiedzy blokami CLB oraz pomiedzy CLB i IOB. Po-niewaz połaczenia maja bardzo istotny wpływ na opóznienia sygnałów, odnich w duzej mierze zalezy maksymalna predkosc pracy systemu. Dodatko-wo w strukturze połaczen sa wydzielone specjalne linie o małym opóznieniui duzej obciazalnosci słuzace do rozprowadzania sygnałów zegarowych.

3.1.1.2. Projektowanie uk ladow FPGA

Projektowanie układów FPGA jest procesem złozonym wymagajacym znajomo-sci architektury układu, narzedzi projektowych oraz metodologii projektowania.Wstepnym etapem projektowym, po okresleniu wymagan systemu, jest wybór do-celowego układu, który zalezy od wielu czynników, a w szczególnosci [32]:

• złozonosc projektu (szacowana wielkosc potrzebnych zasobów logicznych),

• specyficzne wymagania dotyczace bloków hard-core (np. bloki DSP),

• wymagana czestotliwosc pracy logiki oraz sygnałów wej./wyj.,

• rodzaj, liczba i standardy interfejsów wej./wyj.,

• wymagania dotyczace liczby, czestotliwosci i typów sygnałów zegarowych,

http://rcin.org.pl

Page 64: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

64 3. Układy i systemy cyfrowego przetwarzania sygnałów

• wymagania zasilania (pobór pradu, liczba napiec),

• wybór i mozliwosci narzedzi projektowych oraz dostepnosc bloków IP,

• typ obudowy majacy wpływ na projekt płyty drukowanej i jej montaz (np.mozliwosc migracji do wiekszych układów w tej samej obudowie).

Przebieg procesu implementacji projektu w układzie FPGA przedstawiono narysunku 3.2. Narzedzia projektowe standardowo akceptuja na wejsciu opis układuw postaci schematu ideowego lub w jednym z jezyków HDL (ang. Hardware De-scription Language — jezyk opisu sprzetu). Projektowanie za pomoca jezyków HDLstaje sie coraz powszechniejsze i powoli wypiera schematy, które w przypadku bar-dziej złozonych projektów staja sie trudne do utrzymania. W narzedziach do ukła-dów FPGA wspierane sa obecnie dwa jezyki HDL: Verilog i VHDL. Oba sa jezykamiwysokiego poziomu umozliwiajacymi opis układów na róznym poziomie abstrak-cji — od bramek i logiki po algorytmy i systemy. VHDL posiada wiecej konstrukcjiwysokiego poziomu (biblioteki, pakiety, konfiguracje) i wsparcia dla modelowaniai symulacji systemów.

Synteza

Translacja

Mapowanie

Umieszczanie

i łączenie

Tworzenie

bitstreamu

Programowanie

układu

Symulacja

czasowa

Analiza czasowa

Symulacja

funkcjonalna

library ieee ;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity counter is

generic(n: natural :=2);

port( clock: in std_logic;

clear: in std_logic;

count: in std_logic;

Q: out std_logic_vector(n-1 downto 0));

end counter;

architecture behv of counter is

signal Pre_Q: std_logic_vector(n-1 downto 0);

begin

process(clock, count, clear)

begin

if clear = '1' then

Pre_Q <= Pre_Q - Pre_Q;

elsif (clock='1' and clock'event) then

if count = '1' then

Pre_Q <= Pre_Q + 1;

end if;

end if;

end process;

Q <= Pre_Q;

end behv;

Kod HDL

Schemat

IMP

LEM

EN

TA

CJA

Weryfikacja

w układzie

WE

RY

FIK

AC

JA

Rysunek 3.2.: Metodologia projektowania układów FPGA (na podstawie [136])

Pierwszy etap (synteza) polega na przetłumaczeniu wejsciowego opisu ukła-du na poziom RTL (ang. Register Transfer Level — poziom przesłan miedzyreje-

http://rcin.org.pl

Page 65: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.1. Systemy cyfrowego przetwarzania sygnałów 65

strowych). Poziom RTL, to uniwersalny opis układów cyfrowych za pomoca zbio-ru rejestrów, logiki kombinacyjnej oraz przesłan pomiedzy rejestrami. Na tym eta-pie mozliwa jest symulacja funkcjonalna systemu polegajaca na weryfikacji odpo-wiedzi układu na zadane pobudzenia testowe. Wejsciem do procesu implemen-tacji w FPGA jest opis na poziomie RTL. Kolejne kroki (translacja i mapowanie)polegaja na przetłumaczeniu tego opisu na funkcje realizowalne w danym typieukładu FPGA. Na tym etapie powstaje nowa reprezentacja systemu wykorzystuja-ca elementy FPGA. Umieszczanie i łaczenie (ang. PAR — Palce & Route) to najbar-dziej pracochłonny etap implementacji polegajacy na układaniu elementów logikiw konkretnym miejscu układu (komórkach logicznych) i ich łaczeniu zgodnie zeschematem (reprezentacja po etapie mapowania). W zaleznosci od stopnia skom-plikowania układu, dostepnych zasobów połaczeniowych, rozkładu wyprowadzenoraz nałozonych ograniczen czasowych proces ten moze trwac od kilku sekund dowielu godzin (!). Algorytmy PAR opieraja sie na metodach heurystycznych i nie majaograniczonego czasu działania ani zagwarantowanej zbieznosci rozwiazania. Opty-malny rozkład logiki i sieci połaczen powinien zagwarantowac spełnienie narzuco-nych wymagan na czasy propagacji sygnałów cyfrowych przez układ, czyli innymisłowy na predkosc pracy systemu. Czas propagacji sygnału przez układ składa siez czasu propagacji przez komórki logiczne oraz połaczenia miedzy nimi. Stopienzłozonosci funkcji logicznej jest charakteryzowany przez tzw. liczbe poziomów lo-giki, czyli liczbe komórek logicznych potrzebnych do jej realizacji. Wieksza liczbapoziomów logiki przekłada sie bezposrednio na predkosc realizacji funkcji i ogra-nicza całkowita predkosc systemu. Statyczna analiza czasowa przeprowadzana poetapie translacji pozwala na wstepne oszacowanie wydajnosci systemu na podsta-wie analizy liczby poziomów logiki dla poszczególnych sygnałów. Narzedzia projek-towe posiadaja wiele zaawansowanych funkcji słuzacych otrzymaniu optymalnejimplementacji w mozliwie najkrótszym czasie. Projektant moze takze wpływac naproces rozkładu logiki w układzie przez reczne umieszczanie pewnych czesci pro-jektu w okreslonych blokach logicznych. Umieszczenie zwiazanych ze soba funkcjisystemu w sasiadujacych blokach jest najczesciej dobrym rozwiazaniem, bo mini-malizuje długosc połaczen miedzy nimi, a co za tym idzie czas propagacji. Z dru-giej strony narzucenie wiezów moze spowodowac, ze narzedzie nie bedzie w stanieznalezc optymalnego rozwiazania, które zostałoby znalezione przy innym ułoze-niu elementów. Po zakonczeniu etapu PAR znany jest juz dokładny projekt wnetrzaukładu FPGA i moze byc przeprowadzana symulacja czasowa uwzgledniajaca czasyopóznien wszystkich sygnałów zarówno w logice, jak i w połaczeniach.

Zakonczeniem procesu implementacji jest generowanie, czyli pliku binarnegosłuzacego do programowania układu — tzw. bitstreamu. Układy FPGA sa najcze-sciej programowane w układzie za pomoca interfejsu JTAG (ang. Joint Test Action

http://rcin.org.pl

Page 66: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

66 3. Układy i systemy cyfrowego przetwarzania sygnałów

Group), który moze tez pełnic inne funkcje testowe. Dla układów z ulotna konfigu-racja stosuje sie zewnetrzne pamieci Flash lub zewnetrzny kontroler (np. mikropro-cesor). Choc weryfikacja działania układu jest mozliwa w docelowym układzie, tow praktycznych systemach niezwykle trudna przez kłopotliwy dostep do wyprowa-dzen układów oraz trudnosci zwiazane z obserwacja szybkich sygnałów cyfrowych.Dostepne sa specjalizowane rozwiazania (np. Xilinx ChipScope Pro), które pozwa-laja na obserwacje dowolnych sygnałów (zarówno zewnetrznych, jak i wewnetrz-nych) układu FPGA i ich odczyt przez interfejs JTAG. Narzedzia te zajmuja pewnezasoby w układzie FPGA, gdzie po spełnieniu zadanych warunków sa zapamiety-wane stany wybranych sygnałów.

Realizacja projektu FPGA jest procesem iteracyjnym, w którym weryfikacja jestprzeprowadzana na kolejnych etapach implementacji. Symulacja funkcjonalna juzna samym poczatku pozwala na sprawdzenie poprawnosci projektu bez koniecz-nosci jego czasochłonnej implementacji. Symulacja na kolejnych etapach daje od-powiedz na pytanie, czy poprawny funkcjonalnie projekt zachowuje sie identyczniepo implementacji i czy spełnia wymagania czasowe.

Alternatywne narzedzia projektowe Opis układów cyfrowych w jezykach HDL róz-ni sie znaczaco od sposobu opisu algorytmów za pomoca jezyków wysokiego po-ziomu (np. C) uzywanych standardowo do programowania. Mozliwosc kompilacjiz jezyka C do sprzetu daje duzo korzysci — m.in. mozliwosc programowania FPGAprzez programistów, łatwosc przenoszenia algorytmów komputerowych na FPGAoraz ułatwiona weryfikacje i symulacje. Dlatego, w ostatnich latach powstały narze-dzia (Impulse C, Spec-C, Handel-C, SystemC) pozwalajace na synteze bezposred-nio z jezyka C [108]. Rozwiazania te umozliwiaja synteze jedynie z ograniczonegokodu C (np. wymagaja stosowania okreslonych typów lub bibliotek), ale i tak jestto znaczace ułatwienie w stosunku do jezyków HDL. Kod w jezyku C jest tłuma-czony na jezyk HDL, a nastepnie syntezowany w sposób identyczny z opisanymw poprzednim paragrafie. Dodatkowo tworzony jest kod dla procesora, uzupełnio-ny o model interfejsu cyfrowego w celu symulacji i weryfikacji algorytmu na kom-puterze. Czasy symulacji kodu w jezyku C na komputerze sa znaczaco (10–1000x)krótsze w porównaniu do symulacji reprezentacji RTL.

Jeszcze inne podejscie do zagadnienia projektowania FPGA reprezentuje narze-dzie System Generator for DSP firmy Xilinx [139]. Oferuje ono budowanie mode-li w systemie Simulink srodowiska MATLAB oraz ich bezposrednia symulacje cy-frowa i synteze. Projektant buduje schemat systemu korzystajac z dostarczonychbloków realizujacych wysokopoziomowe funkcje DSP (np. generator, filtr, sumator,itp.). Nastepnie moze przeprowadzic jego symulacje w srodowisku graficznym Si-mulink dla zadanych pobudzen i sprawdzic poprawnosc algorytmu. Zweryfikowa-

http://rcin.org.pl

Page 67: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.1. Systemy cyfrowego przetwarzania sygnałów 67

ny projekt moze byc automatycznie syntezowany na dany układ FPGA. Bloki DSPz systemu Simulink sa zastepowane przygotowanymi blokami IP (soft-core) reali-zujacymi te funkcje w układzie FPGA. System Generator pozwala na implementacjebardzo złozonych algorytmów przetwarzania cyfrowego w bardzo krótkim czasie.

Sprzetowe zagadnienia projektowania FPGA Poza opisana powyzej softwarowastrona projektowania FPGA, nie mniej wazna jest strona sprzetowa (elektroniczna).Ponizej przedstawiono hasłowo najwazniejsze zagadnienia:

• Zasilanie układów FPGA jest jednym z krytycznych elementów systemów cy-frowych. Nowoczesne układy wymagaja kilku róznych napiec zasilania dopoprawnej pracy (np. 1,2 V, 2,5 V oraz 3 V, dla układów Spartan-3). Napie-cia te musza spełniac ostre wymogi stabilnosci w szerokim zakresie pobiera-nych pradów, co jest zwiazane z dynamicznym obciazeniem jakim sa układycyfrowe. Linie zasilania musza spełniac dodatkowe warunki przy właczaniusystemu: kolejnosc właczania, monotonicznosc i szybkosc wzrostu napiecia.Równie duze znaczenie ma doprowadzenie zasilania do samego układu. Zewzgledu na nieustannie rosnace predkosci pracy tych układów propagacjasygnałów (w tym zasilania) wkracza w zakres techniki wysokich czestotliwo-sci. Indukcyjnosci doprowadzen zasilania do układu staja sie istotnym pro-blemem ograniczajacym szybkosc pracy. Wielowarstwowe płyty drukowaneoraz optymalizowane sieci kondensatorów filtrujacych (odblokowujacych)zasilanie stanowia narzedzie w walce z tymi niepozadanymi efektami.

• Integralnosc sygnałów jest zwiazana z propagacja bardzo szybkich sygnałówcyfrowych po sciezkach płyty drukowanej, kablach i złaczach. Szerokosc wid-ma czestotliwosci sygnałów cyfrowych nie tyle zalezy od czestotliwosci sa-mego sygnału ile od predkosci ich narastania. Z tego powodu technika cy-frowa juz dawno wkroczyła w zakres czestotliwosci gigahercowych. Integral-nosc sygnału cyfrowego, to wymóg poprawnej interpretacji sygnału 0/1 pojego przejsciu ze zródła do odbiornika. Zapewnienie dobrej propagacji sy-gnałów cyfrowych wymaga dzisiaj stosowania technik w.cz. np.: dopasowa-nie impedancji nadajnik/odbiornik, kontrolowana impedancja sciezek ob-wodu drukowanego, itp.. Integralnosc jest takze zwiazana z jakoscia układówzasilania (o czym była mowa poprzednio) oraz zagadnieniami kompatybil-nosci elektromagnetycznej. Promieniowanie zakłócen wywołanych pradamiw.cz. w układach FPGA moze powodowac zakłócanie innych urzadzen i/lubzmniejszac odpornosc systemu na zakłócenia z zewnatrz.

• Zagadnienia termiczne — odprowadzanie ciepła i monitorowanie tempe-ratury pracy układów FPGA ma na celu umozliwienie pracy w okreslonymzakresie temperatur systemu oraz zwiekszenie niezawodnosci w zastosowa-

http://rcin.org.pl

Page 68: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

68 3. Układy i systemy cyfrowego przetwarzania sygnałów

niach krytycznych. Pobór pradu i wydzielanie ciepła w układach FPGA sabardzo dynamiczne i zaleza nie tylko od czestotliwosci pracy ale takze odprojektu (wykorzystania zasobów wewnetrznych).

3.1.1.3. Zastosowania FPGA

Układy FPGA były poczatkowo stosowane głównie jako logika łaczaca (tzw. glue-logic) rózne elementy systemów i interfejsów. Z czasem dzieki coraz wiekszym ge-stosciom układów, integracji specjalizowanych bloków (np. DSP) oraz dostepnoscinowych narzedzi przekształciły sie w dzisiejsze samowystarczalne systemy (SoC).Waznym krokiem na drodze rozwoju zastosowan układów FPGA była popularyzacjabloków wbudowanych procesorów oraz bloków DSP. Bloki procesorów (soft-corei hard-core) umozliwiły budowe kompletnych systemów przetwarzania bez potrze-by stosowania dodatkowych układów procesorowych.

Układy FPGA sa obecnie główna platforma do hardwarowej realizacji obliczeni cyfrowego przetwarzania sygnałów. Ogromna dostepna przepustowosc interfej-sów cyfrowych oraz duza liczba bloków obliczeniowych predysponuje je do stru-mieniowego przetwarzania danych z duza predkoscia/czestotliwoscia. Ze wzgleduna wyzsze koszty implementacji algorytmów w FPGA sa one stosowane tam gdziepredkosc strumienia danych przekracza mozliwosci procesorów DSP (telekomuni-kacja, obróbka video, radio cyfrowe, etc.). Układy FPGA czesto stanowia pierwszystopien przetwarzania realizujacy wstepna obróbke i redukcje predkosci strumie-nia danych (np. przez decymacje).

Sumaryczna wydajnosc obliczeniowa układów FPGA jest wynikiem przemnoze-nia wydajnosci pojedynczego bloku przez ich liczbe. W przypadku klasycznych sys-temów (procesorów) wydajnosc nie rosnie w tak prosty sposób, gdyz ograniczeniemsa interfejsy do pamieci oraz komunikacja wewnetrzna. Dostepna przepustowoscbloków wej./wyj. układów FPGA potencjalnie umozliwia prace bloków obliczenio-wych z pełna predkoscia. Oczywiscie w konkretnym rozwiazaniu inne czynniki lubinterfejsy moga ograniczac przepustowosc. Duzy wpływ na wydajnosc obliczenma takze sposób implementacji algorytmów. Procesory DSP realizuja filtry cyfro-we w sposób szeregowy korzystajac z wbudowanego układu mnozaco-dodajacego.Czas wykonywania operacji filtracji zalezy od liczby współczynników filtru. W ukła-dach FPGA dzieki dostepnosci duzej liczby bloków obliczeniowych mozliwa jest im-plementacja w pełni równoległa, przy której czas filtracji nie zalezy od liczby współ-czynników (operacja filtracji wykonywana jest w jednym cyklu — równolegle) [77].

Wymagane moce przetwarzania dla przykładowych zastosowan oraz wydajno-sci układów FPGA Xilinx z rodziny Spartan-DSP i Virtex-DSP zestawiono na rysun-ku 3.3. O mozliwosciach obliczeniowych FPGA niech swiadczy fakt, ze najwiekszy

http://rcin.org.pl

Page 69: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.1. Systemy cyfrowego przetwarzania sygnałów 69

obecnie dostepny układ z rodziny Virtex-5 SXT zawierajacy 640 specjalizowanychbloków DSP moze osiagnac moc przetwarzania do 352 GMAC/s. Układy FPGA satakze wykorzystywane jako akceleratory obliczen w uniwersalnych oraz specjali-zowanych systemach komputerowych. Przykładem jest superkomputer Cray XD1zbudowany w oparciu o procesory AMD Opteron z modułem akceleratora na ukła-dach Xilinx Virtex [?].

% &'( && & ) ! #

Virtex-DSP

Spartan-DSP

350 GMAC

30 GMAC

1 GMAC

?Obrazowanie 3D?Stacje bazowe?Sprzæt HD audio/video ?Radary, sonary?Monitoring HD video?Radio softwarowe?Techniki MIMO

?Ultradêwiêki?Mikro stacje bazowe?Konsumenckie Video?Monitoring video?Mobilny sprzêt radiowy

ROZWÓJ

Wym

ag

an

a lic

zba o

pera

cji G

MA

C/s

ek.

Rysunek 3.3.: Wydajnosc bloków DSP układów Xilinx oraz ich aplikacje [141]

Ograniczajac sie do aplikacji w aparatach ultradzwiekowych obecne zastosowa-nia układów FPGA obejmuja:

• realizacje układów beamformerów dla głowic wieloelementowych,

• układy przetwarzania sygnału video (filtracja, nakładanie palety kolorów,etc.),

• filtracje i przetwarzanie sygnału w.cz.,

• układy konwersji geometrii (scan-converter),

• systemy kompresji i składowania obrazów medycznych,

• systemy rejestracji i fuzji danych z róznych systemów diagnostycznych.

http://rcin.org.pl

Page 70: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

70 3. Układy i systemy cyfrowego przetwarzania sygnałów

3.1.2. Procesory sygna lowe

Układy DSP sa specjalizowanymi procesorami do przetwarzania sygnałów cy-frowych. Architektura wewnetrzna tych układów rózni sie od procesorów ogólnegoprzeznaczenia. Najwazniejszymi wyróznikami układów DSP sa:

• architektura pamieci z rozdzielona magistrala danych i rozkazów (nie wewszystkich układach),

• szybkie jednostki obliczeniowe typu MAC (mnozaco-dodajace) — bedacepodstawa wiekszosci algorytmów przetwarzania cyfrowego,

• układy saturacji obliczen — istotne dla zapewnienia poprawnosci obliczenw przypadkach nadmiaru/niedomiaru,

• instrukcja petli bez narzutu (ang. zero-overhead loop) — wykonanie operacjiskoku w petli nie zajmuje czasu procesora, co jest istotne dla algorytmówfiltracji pracujacych w petli,

• specjalne tryby adresowania pamieci — umozliwiajace realizacje buforówcyklicznych.

Wszyscy najwieksi producenci układów DSP (Texas Instruments, Freescale, Ana-log Devices) oferuja procesory z arytmetyka stałopozycyjna i/lub zmiennopozycyj-na. Procesory zmiennopozycyjne ułatwiaja implementacje algorytmów DSP, szcze-gólnie dla sygnałów o duzej dynamice (np. zastosowania audio), minimalizujacniebezpieczenstwa zwiazane z przepełnieniem w trakcie obliczen i uwalniajac odkoniecznosci skalowania wyników na poszczególnych etapach obliczen. Procesorystałopozycyjne operuja na słowach 8–32 bitów (w zaleznosci od modelu) i oferujawieksza wydajnosc obliczen.

Na rynku dostepne sa procesory DSP o czestotliwosciach pracy od 50 MHz doponad 1 GHz i pokrywajace szeroka palete zastosowan. Układy DSP oferuja duzamoc obliczeniowa przy stosunkowo niewielkim poborze pradu dlatego sa czestostosowane w systemach wbudowanych i przenosnych. Dostepne narzedzia progra-mistyczne umozliwiaja programowanie układów DSP w jezyku C i dysponuja goto-wymi bibliotekami zoptymalizowanych funkcji do przetwarzania cyfrowego (funk-cje filtracji, FFT, itp.). Procesory DSP pracuja najczesciej w rezimie czasu rzeczywi-stego, co ma duze znaczenie w wielu zastosowaniach.

W ostatnich latach mozna zaobserwowac trend do unifikacji architektury proce-sorów DSP i ogólnego przeznaczenia. Powodem tego jest rozwój zastosowan mul-timedialnych (audio i video), które wymagaja szybkiego przetwarzania cyfrowego.Coraz wiecej procesorów ogólnego przeznaczenia jest wyposazonych w układy ak-celeracji DSP (od prostych układów MAC, po specjalizowane systemy koprocesorówwektorowych). Mozliwosci tych układów zostały przedstawione w rozdziale 3.1.3..

http://rcin.org.pl

Page 71: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.1. Systemy cyfrowego przetwarzania sygnałów 71

3.1.2.1. Architektura DSP

Na rynku dostepnych jest wiele modeli i architektur układów DSP zoptymali-zowanych do róznych zastosowan. Dla przykładu przedstawiono architekture pro-cesorów DSP z rodziny TMS320C64x firmy Texas Instruments, które sa stosowa-ne przez producentów ultrasonografów m.in. Esaote i Zonare. Układy te naleza donajwydajniejszych układów stałopozycyjnych dzieki wysokiej czestotliwosci pracy(do 1 GHz) oraz równoległym jednostkom wykonawczym mogacym realizowac doosmiu operacji w jednym cyklu zegara — co daje szczytowa wydajnosc obliczen napoziomie 8 GMAC/s dla danych 16-bitowych. Procesory TMS320C64x sa zbudowa-ne w oparciu o jadro procesora C64x+ oraz wyposazone w dodatkowe urzadzeniaperyferyjne. Mikroarchitektura jadra C64x+ (rys. 3.4) składa sie z osmiu jednostekwykonawczych (.L1, .S1, .M1, .D1, .L2, .S2, .M2, .D2), dwóch plików 32-bitowychrejestrów (po 32 kazdy), kontrolera przerwan oraz pamieci podrecznej i układówzarzadzania pamiecia (UMC, DMC, EMC) [130]. Jadro procesora C64x+ posiadarozbudowany podsystem pamieci składajacy sie z osobnych pamieci podrecznychdanych i programu oraz zestawu połaczonych kontrolerów pamieci. Duza wydaj-nosc obliczen wymaga zapewnienia odpowiedniej przepustowosci pamieci do da-nych oraz wykonywanego programu. Procesor korzysta ze zunifikowanej pamiecizewnetrznej (danych i programu) obsługiwanej przez kontroler EMC. Wewnetrznekontrolery pamieci: programu, danych oraz wspólnej (PMC, DMC, UMC) moga po-bierac dane z pamieci zewnetrznej za pomoca układu IDMA (ang. Internal DirectMemory Access — wewnetrzny układ bezposredniego dostepu do pamieci). UkładyDMA pozwalaja na realizacje transferu danych pomiedzy pamieciami bez udziałuprocesora, który moze w tym czasie wykonywac inne operacje. Kazdy z bloków wy-konawczych moze wykonywac jedna operacje w cyklu zegara. Bloki .M wykonujafunkcje mnozenia i dodawania na liczbach 8, 16 i 32-bitowych, bloki .L to jednost-ki arytmetyczno-logiczne natomiast bloki .D odpowiadaja za transfer danych z/dopamieci. Mikroarchitektura C64x+ wykorzystuje liste instrukcji z bardzo długim sło-wem tzw. VLIW (ang. Very Long Instruction Word) [?]. Podobnie jak w przypadkuprocesorów superskalarnych (rozdział 3.1.3.) architektura VLIW wykorzystuje rów-noległosc na poziomie wykonywania instrukcji. Jednak w przypadku VLIW optyma-lizacja i przydział instrukcji do jednostek wykonawczych jest realizowany na etapiekompilacji kodu, a nie przez sam procesor. Kazda ze 256-bitowych instrukcji zawie-ra pod-instrukcje dla kazdego z osmiu bloków wykonawczych, co umozliwia rów-noległe wykonywanie wielu operacji. Poniewaz pisanie programów wykorzystuja-cych optymalnie wszystkie jednostki przy jednoczesnym uwzglednieniu zaleznoscidanych jest niezwykle trudne, stosuje sie specjalizowany kompilator jezyka C, którywyrecza w tym programiste. Dodatkowo producent dostarcza wygodne narzedzia

http://rcin.org.pl

Page 72: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

72 3. Układy i systemy cyfrowego przetwarzania sygnałów

uruchomieniowe oraz wydajne biblioteki funkcji DSP.

.D1.M1.S1.L1 .L2.D2 .S2.M2

Plik rejestrów A Plik rejestrów B

Dekodowanie instrukcji

16/32-bit przydzielanie instrukcji

Pobieranie instrukcji

Bufor pêtli (SPLOOP)IDMA

Kontroler pamiêci programu (PMC)

Pamiêæ L1 programucache

Pamiêæ cache L1 danych

Pamiêæ cache

L2

Kontroler pamiêci wspólnej(UMC)

Kontroler pamiêci zewn.(EMC)

Kontroler pamiêci danych(DMC)

Kontroler przerwañi wyj¹tków

Kontrolermocy

Rysunek 3.4.: Budowa jadra procesora sygnałowego z rodziny C64+ firmy Texas Instruments(na podstawie [130])

3.1.2.2. Zastosowania DSP

Układy DSP sa bardzo szeroko stosowane w urzadzeniach ultrasonograficznych.W zaleznosci od budowy aparatu procesory DSP moga stanowic główny systemprzetwarzania lub realizowac jedynie niektóre funkcje przetwarzania (np. dopple-ra kolorowego). Ze wzgledu na wymagana moc przetwarzania cyfrowego układy

http://rcin.org.pl

Page 73: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.1. Systemy cyfrowego przetwarzania sygnałów 73

DSP sa czesto wspierane układami FPGA. Firma Zonare w swoim flagowym mo-delu ultrasonografu z.one stosuje kombinacje układów FPGA oraz DSP do realizacjicałego toru przetwarzania cyfrowego i wizualizacji. Aparat z.one zawiera trzy pro-cesory TMS320C6455 pracujace z zegarem 1 GHz i wyposazone w 128 MB pamie-ci zewnetrznej kazdy [75]. Dodatkowo układ FPGA Xilinx Virtex-4 XC4VSX55 FPGAz 256 MB pamieci stanowi interfejs pomiedzy zestawem przetworników analogowo-cyfrowych, a procesorami DSP. Wydajny układ wbudowanego kontrolera FreescaleMPC5200 z pamiecia 256 MB odpowiada za realizacje funkcji sterujaco-kontrolnychi wyswietlania. Dzieki duzej skali integracji cały aparat jest w pełni przenosny (wa-ga ponizej 3 kg) i umozliwia prace na akumulatorach do 1,5 h (ok. 30 W pobieranejmocy).

3.1.3. Procesory uniwersalne

Współczesne procesory uniwersalne GPP (ang. General Purpose Processor —procesor ogólnego przeznaczenia) i mikrokontrolery sa produkowanymi masowoukładami cyfrowymi znajdujacymi zastosowanie w komputerach PC i systemachwbudowanych.

Wiekszosc obecnie produkowanych procesorów ogólnego przeznaczenia jestopartych na mikroarchitekturze RISC (ang. Reduced Instruction Set Computer —komputer o zredukowanej liscie instrukcji), która została okreslona w latach 1970.Architektura RISC powstała jako alternatywa do rozpowszechnionych wtedy proce-sorów CISC (ang. Complex Instruction Set Computers — komputer ze złozona listainstrukcji) i miała na celu uproszenie budowy wewnetrznej, zwiekszenie czestotli-wosci pracy oraz osiagniecie wykonywania jednej instrukcji w jednym cyklu zegara.Cechami wyrózniajacymi procesory RISC sa:

• Stała długosc słowa rozkazowego, która ułatwia jego pobieranie i dekodowa-nie. Ortogonalna lista instrukcji — brak powielania funkcjonalnosci pomie-dzy instrukcjami.

• Sprzetowa realizacja wykonywania instrukcji zamiast mikrokodu i wykony-wania wieloetapowego znanego z procesorów CISC. Sprzetowa implemen-tacja prostszego zestawu instrukcji wymaga mniej powierzchni krzemu, coprzekłada sie na mniejsza cene i pobierana moc.

• Architektura load/store (ładuj/zachowaj) polegajaca na realizacji operacjiarytmetyczno-logicznych na danych znajdujacych sie w rejestrach, a nie bez-posrednio w pamieci danych. Pozwoliło to na rozdzielenie instrukcji dostepudo pamieci od instrukcji realizujacych obliczenia.

• Duza liczba uniwersalnych rejestrów, w odróznieniu od mniejszej liczby spe-

http://rcin.org.pl

Page 74: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

74 3. Układy i systemy cyfrowego przetwarzania sygnałów

cjalizowanych rejestrów w architekturze CISC.

• Implementacja potokowa, która polega na podziale na etapy pobierania, de-kodowania i wykonywania instrukcji, w celu skrócenia czasu potrzebnego narealizacje pojedynczego etapu, a tym samym zwiekszenia czestotliwosci pra-cy układu.

• Uproszczony podsystem pamieci — mała liczba trybów adresowania pamie-ci, brak (lub małe) wsparcie dla adresowania danych mniejszych od szeroko-sci słowa instrukcji.

Mikroarchitektura RISC okazała sie dobra podstawa do rozwoju i zwiekszaniawydajnosci procesorów poprzez ILP (ang. Instruction Level Parallelism — równo-ległosc na poziomie instrukcji). Potokowa implementacja wykonywania instrukcjipozwoliła uzyskac realizacje jednej instrukcji w jednym cyklu zegara. Zauwazono,ze dzieki zastosowaniu kilku jednostek wykonawczych mozna zwiekszyc liczbe wy-konywanych instrukcji w cyklu zegarowym bez zmiany listy instrukcji — tak po-wstała architektura superskalarna. Procesory superskalarne pobieraja wieksza licz-be instrukcji i automatycznie przydzielaja je do wolnych jednostek wykonawczychumozliwiajac ich prace równoległa. Algorytm tego przydziału musi uwzgledniac za-leznosci pomiedzy instrukcjami oraz ich rezultatami, tak aby była zachowana se-kwencyjnosc wykonywania instrukcji. Ograniczenia techniki superskalarnej wyni-kaja z ograniczonej liczby równoległych instrukcji znajdujacych sie blisko siebiew strumieniu instrukcji programu oraz złozonosci zwiazanej z implementacja ukła-du rozdzielania instrukcji i uwzgledniania zaleznosci pomiedzy nimi.

Wydajnosc procesorów jest okreslona przez czestotliwosc pracy oraz liczbe in-strukcji wykonywanych w jednym cyklu zegara:

Wydajnosc = (Czestotliwosc pracy) · (Liczba instrukcji wykonywana w cyklu zegara).

W latach 1986–2002 wydajnosc procesorów rosła z predkoscia ok. 1,5 raza rocznie,jednoczesnie wzrost wydajnosc pamieci RAM w tym samym czasie wyniósł ok. 1,07raza rocznie [54]. Stały wzrost wydajnosci był mozliwy dzieki rozwojowi technologiipółprzewodnikowej umozliwiajacej wzrost czestotliwosci pracy oraz stopien inte-gracji układów (złozonosc). Jednak na poczatku lat 2000 okazało sie, ze mozliwo-sci dalszego rozwoju w oparciu o równoległosc na poziomie instrukcji oraz zwiek-szanie czestotliwosci pracy sa ograniczone. Dalsze zwiekszanie czestotliwosci pra-cy powodowało proporcjonalny wzrost pobieranej mocy, ale przestało przynosicodpowiedni wzrost wydajnosci. Załamanie obowiazujacego dotychczas prawa ska-lowania czestotliwosci było spowodowane napotkaniem tzw. sciany ILP oraz scia-ny pamieci. Sciana ILP, to kres mozliwosci dalszego eksploatowania równoległosci

http://rcin.org.pl

Page 75: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.1. Systemy cyfrowego przetwarzania sygnałów 75

w strumieniu instrukcji programu. Sciana pamieci zwiazana jest z przepascia po-wstała pomiedzy predkosciami przetwarzania procesorów, a wydajnoscia pamieci(predkoscia i opóznieniami). Oba te ograniczenia spowodowały, ze jednostki proce-sora nie sa w pełni wykorzystywane, gdyz nie moze on zrównoleglic odpowiedniejliczby instrukcji i/lub musi czekac na nowe instrukcje z pamieci.

Zaczeto poszukiwac nowych sposobów zwiekszenia wydajnosci oraz równole-głosci w systemach komputerowych. Równoległosc realizacji programów była im-plementowana w systemach operacyjnych od samego poczatku (Unix — 1970)przez podział czasu procesora. Emulacja równoległosci w systemach jednoproce-sorowych opiera sie na przydzielaniu krótkich odcinków czasu (1–50 ms) po koleikazdemu z działajacych programów. Systemy wieloprocesorowe — składajace siez kilku procesorów, zapewniaja prawdziwa równoległosc wykonywania programów.Współczesne systemy operacyjne (Windows, Linux) oferuja takze mozliwosc two-rzenia watków (ang. threads) wykonywanych równolegle zadan w ramach jedne-go procesu. Taka logiczna równoległosc ułatwia tworzenie pewnych aplikacji i mo-ze przyczynic sie do lepszego wykorzystania wydajnosci procesora, gdyz proce-sy/watki oczekujace (np. na dane) sa blokowane i nie zajmuja czasu procesora.Wykorzystanie równoległosci na poziomie watków TLP (ang. Thread Level Paral-lelism) stało sie obowiazujacym kierunkiem od roku 2005, kiedy zaczeły sie poja-wiac procesory z dwoma jadrami umieszczonymi w jednej strukturze krzemowej(AMD Opteron, Intel Pentium D, Intel Core Duo). Powstały takze bardziej egzotycz-ne architektury np: Sun UltraSPARC T1 składajacy sie z 8 jader (jednostek wyko-nawczych) i wsparciem dla 32 równoległych watków, czy procesor Cell opracowanyprzez alians firm Sony, Toshiba i IBM, zawierajacy 9 jader.

Nalezy wspomniec, ze nastepujaca obecnie migracja do procesorów opartychna TLP wymaga takze opracowania nowych metodologii i jezyków programowa-nia, które pozwola na optymalne wykorzystanie nowych architektur. Tworzenieoprogramowania wielowatkowego jest trudne ze wzgledu na zagadnienia podzia-łu zadan na watki, współdzielenia danych miedzy watkami oraz ich synchroniza-cji. Obecnie stosowane sa klasyczne rozwiazania znane z programowania syste-mów klastrowych i superkomputerów, jak np.: MPI (ang. Message Passing Interface)lub OpenMP (ang. Open Multi-Processing). System MPI [45] słuzy do programo-wania i uruchamiania aplikacji w srodowisku rozproszonym z medium komunika-cyjnym (systemy klastrowe, systemy heterogeniczne). Współdzielenie danych orazsynchronizacja jest realizowana w MPI za pomoca przesyłania komunikatów po-miedzy wezłami (procesorami) w systemie. MPI wymaga explicite okreslania rów-noległosci fragmentów programu oraz synchronizacji. OpenMP [2] przeznaczonyjest dla maszyn ze współdzielona pamiecia. Specjalne dyrektywy OpenMP pozwa-laja kompilatorom na automatyczne zrównoleglanie okreslonych fragmentów pro-

http://rcin.org.pl

Page 76: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

76 3. Układy i systemy cyfrowego przetwarzania sygnałów

gramu.

Niezaleznym kierunkiem rozwoju jest równoległosc oparta o dane — DLP (ang.Data Level Parallelism). Tego typu podejscie jest stosowane w procesorach graficz-nych GPU (rozdział 3.1.4.) oraz w specjalizowanych jednostkach wektorowych be-dacych elementem wielu procesorów uniwersalnych (opisanych ponizej).

3.1.3.1. Jednostki wektorowe

Jednostki wektorowe pojawiły sie pierwotnie w procesorach uniwersalnych ja-ko jednostki wspomagajace przetwarzanie multimediów. Jednostki te sa opartena technice SIMD (ang. Single Instruction Multiple Data — pojedyncza instruk-cja wiele danych), czyli wykonywaniu pojedynczej instrukcji/operacji na wektorzedanych równoczesnie (stad ich nazwa) [33]. Jednymi z pierwszych zaimplemen-towanych rozszerzen wektorowych były: VIS (Visual Instruction Set) opracowanyprzez Sun Microsystems w 1995 dla procesorów SPARC, MMX z 1997 dla proceso-rów Intel x86 oraz AltiVec wdrozony w 1999 przez Apple, IBM i Motorola do proce-sorów PowerPC. Produkowane w najwiekszej liczbie procesory z rodziny x86 (Intel,AMD) sa obecnie wyposazone w czwarta wersje jednostek SIMD pod nazwa SSE4(ang. Streaming SIMD Extensions — strumieniowe rozszerzenia SIMD). Rozszerze-nia SSE4 wykonuja operacje na rejestrach 128-bitowych mogacych zawierac wek-tory 8/16/32/64-bitowych liczb całkowitych lub 32/64-bitowych liczb zmiennopo-zycyjnych. Poza standardowymi instrukcjami arytmetycznymi i logicznymi rozsze-rzenia SSE4 zawieraja specjalizowane instrukcje wspomagajace algorytmy kompre-sji/dekompresji strumieni audio-wideo, przetwarzania grafiki 3D oraz operacji nałancuchach znaków [58].

Technika SIMD pozwala na wykorzystanie równoległosci na poziomie danych,która polega na zwiekszeniu wydajnosci przez realizacje obliczen na kilku da-nych równoczesnie. Przetwarzanie strumieni danych multimedialnych zawieraja-cych wideo (bedace z natury wielokanałowe, poniewaz zawiera trzy kolory opisuja-ce piksel obrazu) oraz wielokanałowe audio jest głównym kandydatem do stosowa-nia tej technologii.

Realizacja ogólnych algorytmów przetwarzania cyfrowego na jednostkach wek-torowych procesorów GPP jest obecnie ułatwiona dzieki dostepnym zoptymalizo-wanym bibliotekom funkcji numerycznych. Przykładem jest biblioteka Intel IPP(Integrated Performance Primitives) [128] dostepna dla procesorów z rodziny x86i systemów operacyjnych: Windows, Linux, Mac OS. Biblioteka IPP zawiera naste-pujace grupy funkcji:

• przetwarzania sygnałów (funkcje filtracji, FFT, przetwarzanie audio, operacjena wektorach, kodowanie, etc.),

http://rcin.org.pl

Page 77: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.1. Systemy cyfrowego przetwarzania sygnałów 77

• przetwarzania obrazów i wideo (filtracja obrazu, transformacje koloru, linio-we i geometryczne, kodowanie, etc.),

• operacje macierzowe (algebra wektorowa i macierzowa, systemy równan li-nowych, etc.),

• kryptografia (funkcje szyfrowania, generacji kluczy, etc.).

Biblioteka jest wyposazona w funkcje automatycznego ładowania optymalnych im-plementacji funkcji w zaleznosci od modelu procesora na którym jest wykonywana.Mozliwa do uzyskania wydajnosc przetwarzania cyfrowego przy uzyciu bibliotekiIPP jest bardzo wysoka (znacznie przekracza wydajnosc dostepnych jednoukłado-wych procesorów DSP). W połaczeniu z łatwoscia tworzenia i uruchamiania opro-gramowania na standardowym komputerze PC wykorzystanie jednostek wektoro-wych jest bardzo ciekawa opcja dla realizacji cyfrowego przetwarzania sygnałów.Oczywiscie nalezy pamietac, ze wysoka wydajnosc wiaze sie z bardzo wysoka wy-dzielana moca procesora (50–150 W), co eliminuje go z niektórych zastosowan. Innaprzeszkoda jest trudnosc zapewnienia przetwarzania w rezimie czasu rzeczywiste-go (czesto wymaganego w zastosowaniach DSP) pod kontrola standardowych sys-temów operacyjnych.

3.1.4. Procesory graficzne

Rozwój systemów graficznych komputerów PC jest obecnie niezwykle dyna-miczny. Poczatkowo układy graficzne słuzyły jedynie do wyswietlania grafiki 2Di nie posiadały zadnych układów wspierajacych — wszystkie operacje rysowaniabyły realizowane przez procesor główny komputera [42]. Nastepnie pojawiły sie ak-celeratory grafiki 2D realizujace funkcje rysowania linii, obsługi kursorów oraz ope-racji kopiowania pamieci (ang. BitBLT). Od roku 1995 zaczeły sie pojawiac pierwszeukłady z akceleracja grafiki 3D np.: S3 ViRGE, 3dfx Voodoo, ATI Rage. W tych ukła-dach akceleracja 3D dotyczyła jedynie etapu samej rasteryzacji, tj. poprzedzajaceja elementy potoku graficznego przetwarzania 3D były realizowane przez procesorkomputera. W roku 1999 został opracowany pierwszy układ NVIDIA GeForce 256realizujacy funkcje akceleracji T&L (ang. Transform and Lighting — transformacjii oswietlenia). Nastepne generacje układów zostały rozszerzone o mozliwosci pro-gramowania operacji cieniowania wierzchołków i pikseli [69].

Na dzien dzisiejszy procesory graficzne GPU (ang. Graphics Processing Unit —procesor graficzny) osiagneły na tyle wysoki stopien programowalnosci oraz moz-liwosci obliczeniowych, ze moga byc wykorzystywane takze do realizacji obliczenniekoniecznie zwiazanych z przetwarzaniem grafiki [40, 111]. Programowalnoscoraz bardzo wysoka wydajnosc GPU, wielokrotnie wieksza od wydajnosci proceso-rów PC, spowodowała powstanie nowego kierunku w zastosowaniach procesorów

http://rcin.org.pl

Page 78: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

78 3. Układy i systemy cyfrowego przetwarzania sygnałów

graficznych, a mianowicie GPGPU (ang. General Purpose Computing on GPU —obliczenia ogólnego przeznaczenia na GPU) [1, 3]

W dalszej czesci zostana przedstawione zagadnienia zwiazane z GPU: przetwa-rzanie grafiki 3D, architektura wewnetrzna oraz realizacja algorytmów obliczenio-wych.

3.1.4.1. Potok przetwarzania 3D

Interfejs programistyczny oraz potok (algorytm) przetwarzania grafiki 3D zostałokreslony przez standard OpenGL [19] stworzony przez firme Silicon Graphics. In-nym popularnym interfejsem programistycznym dla grafiki 3D jest Direct3D (ele-ment DirectX) opracowany wyłacznie dla platformy Microsoft Windows [50]. Obainterfejsy sa oparte na podobnym potoku graficznym natomiast róznia sie istot-nie pod wzgledem interfejsu dostepnego dla programisty. Zastosowanie GPU wrazz odpowiednimi sterownikami do OpenGL lub DirectX powoduje przeniesienie cie-zaru realizacji potoku graficznego z procesora głównego na procesor graficzny. Narys. 3.5 przedstawiono potok przetwarzania grafiki 3D. Danymi wejsciowymi do po-toku sa modele w przestrzeni 3D, a wyjsciem obraz na ekranie monitora [76]. Zbiórwierzchołków/krawedzi z dołaczonymi do nich parametrami (np. kolor, współrzed-ne tekstury, normalna) opisuja obiekty w przestrzeni 3D, czyli modele. Opis tworzo-nej sceny jest uzupełniony o informacje globalne dotyczace oswietlenia (typ, zró-dła i kierunki swiatła). Przetwarzanie odbywa sie w dwóch etapach: geometrii i ra-steryzacji. Etap geometrii dotyczy operacji na wierzchołkach modeli w przestrzeni3D oraz po rzutowaniu w przestrzeni 2D. Etap rasteryzacji odbywa sie na fragmen-tach (najczesciej trójkatach) powstałych po rzutowaniu obiektów 3D na płaszczy-zne ekranu i przycieciu ich do widocznego obszaru.

Opis poszczególnych kroków przetwarzania etapów geometrii i rasteryzacji [69]:

Transformacja Model-Widok (ang. Model-View transform). Modele, z którychpowstaja obiekty, sa okreslone najczesciej w swoich własnych układachodniesienia — zwanych przestrzenia modelu. Obiekty powstaja z modelupo transformacji jego współrzednych (np. skalowanie, obrót, przesuniecie).Tak przetransformowane modele sa umieszczone juz we wspólnej prze-strzeni swiata. Transformacja widoku przekształca przestrzen swiata doprzestrzeni oka/kamery, która jest zwiazana z połozeniem i kierunkiemoka obserwatora (kamery). Wszystkie powyzsze transformacje dotycza nietylko współrzednych wierzchołków, ale takze normalnych, które moga bycokreslone dla wierzchołków do celów obliczania oswietlenia. Kazda z po-wyzszych transformacji jest zdefiniowana za pomoca osobnej macierzy 4x4,które najczesciej łaczy sie w jedna macierz, ze wzgledów wydajnosciowych.

http://rcin.org.pl

Page 79: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.1. Systemy cyfrowego przetwarzania sygnałów 79

Aplikacja Geometria Rasteryzacja Ekran

Transformacja

Model-WidokOświetlenie Rzutowanie Obcinanie

Rzutowanie

na ekran

Nakładanie

teksturCieniowanie

Przekrywanie

(Z-Bufor)

Operacje

na pikselach

Rysunek 3.5.: Potok przetwarzania 3D (na podstawie [69])

Oswietlenie (ang. lighting) polega na obliczaniu wartosci koloru w wierzchołkachna podstawie informacji o kolorze, normalnej oraz typie i kierunku zródełswiatła. Istnieje kilka róznych uproszczonych modeli obliczania oswietleniarózniacych sie stopniem skomplikowania i jakoscia uzyskiwanych rezulta-tów (np.: Phong, Gouraud).

Rzutowanie (ang. projection). Przetransformowane i oswietlone obiekty sa rzuto-wane na jednostkowa kostke okreslajaca widoczna objetosc. W zaleznosci odzastosowania stosuje sie rzutowanie ortograficzne (równoległe) lub perspek-tywiczne.

Obcinanie (ang. clipping). Na tym etapie wierzchołki na podstawie informacji oich połaczeniach sa składane w obiekty (odcinki, trójkaty, wielokaty). Tylkoobiekty, które sa w całosci lub we fragmencie zawarte w widocznej objeto-sci sa przekazywane do rasteryzacji. Obiekty czesciowo zawarte w widocz-nej objetosci wymagaja przyciecia wierzchołków. Wierzchołki wystajace po-za widoczna objetosc sa zastepowane nowymi znajdujacymi sie na przecie-ciu obiektu z płaszczyznami ograniczajacymi widok.

Mapowanie na ekran (ang. screen mapping). Współrzedne 3D przycietych obiek-tów znajdujace sie w widocznej objetosci sa przekształcane do współrzed-nych ekranowych. Współrzedna głebokosci jest zapamietywana w tzw. Z-buforze, który bedzie wykorzystywany do okreslenia zasłaniania obiektów naetapie rasteryzacji.

Rasteryzacja (ang. rasterization) polega na wypełnieniu poszczególnych frag-mentów kolorem. Kolor jest okreslany na podstawie danych przekazanychz etapu geometrii: kolorów w wierzchołkach i współrzednych tekstur. Okre-

http://rcin.org.pl

Page 80: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

80 3. Układy i systemy cyfrowego przetwarzania sygnałów

slanie koloru pikseli nazywane jest cieniowaniem.

Zasłanianie (ang. culling) słuzy do okreslania widocznosci fragmentów na ekra-nie. Bufor głebokosci (Z-bufor), wypełniany na etapie rzutowania, zawierainformacje o odległosci najblizszego punktu (w przestrzeni 3D) od kame-ry. W trakcie wypełniania fragmentów kolorem porównywana jest głebokoscpunktu z wartoscia w Z-buforze; punkty znajdujace sie głebiej niz wartoscw buforze nie sa rysowane.

Cieniowanie (ang. shading). Kolor kazdego piksela wypełniajacego widoczne frag-menty obrazu jest okreslany na podstawie zastosowanego modelu oswietle-nia oraz dodatkowych atrybutów (np. normalnej do powierzchni, tekstury,etc.). Składowa koloru moze byc takze przezroczystosc, dzieki czemu na ko-lor pojedynczego piksela ekranu moga miec wpływ fragmenty znajdujace siena róznych głebokosciach.

Nakładanie tekstur (ang. texturing). Tekstury to obrazy (najczesciej 2D) majacenadac powierzchniom bardziej rzeczywisty wyglad bez koniecznosci kom-plikacji samego modelu. Znormalizowane współrzedne tekstury sa okresla-ne dla wierzchołków modelu 3D. Płaskie obrazy musza zostac odpowiednioprzekształcone nieliniowo w procesie nakładania na niepłaskie powierzch-nie modelu. Dodatkowo, w celu unikniecia artefaktów, w procesie próbko-wania punktów tekstury stosowana jest interpolacja.

Operacje na pikselach. Na koncowy kolor pikseli składa sie kolor wypełnione-go fragmentu (lub wielu fragmentów, jesli fragmenty posiadaja przezroczy-stosc) oraz kolor jednej lub wielu nałozonych tekstur. Ten proces miesza-nia/nakładania kolorów nazywany jest z ang. blending.

W programowalnych układach GPU moga byc wykonywane programy cie-niujace (ang. pixel shaders), które modyfikuja lub zastepuja opisane powyzejetapy cieniowania, nakładania tekstur oraz operacji na pikselach.

3.1.4.2. Architektura GPU

Współczesne układy graficzne sa specjalizowanymi procesorami obliczeniowy-mi. Architektura GPU jest zoptymalizowana do przetwarzania strumieniowego po-toku graficznego 3D. Implementacja potoku przetwarzania 3D i jego podział po-miedzy procesor główny oraz procesor GPU została przedstawiona na rys. 3.6 [41].Potok ten został rozszerzony o programowalne procesory wierzchołków i fragmen-tów w stosunku do potoku 3D opisanego w poprzednim punkcie (rys. 3.5). Proceso-ry te moga wykonywac ładowalne programy (shadery), co pozwala na rozszerzaniefunkcjonalnosci nieprogramowalnego potoku graficznego.

http://rcin.org.pl

Page 81: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.1. Systemy cyfrowego przetwarzania sygnałów 81

Granica CPU GPU–

Aplikacja 3D

API 3D(OpenGL lub DirectX)

Funkcje3D API

Zrasteryzowanefragmenty

InstrukcjeGPU i dane

Wierzcho³ki Przetransformowanewierzcho³ki

Indeksy wierzcho³ków

Programowalny procesor

wierzcho³ków

Wejœciedo GPU

Wielok¹ty, linie i punkty

Programowalny procesor

fragmentów

Rasteryzacja i interpolacja

Operacjerastrowe Bufor ramki

Sk³adanieobiektów

Przetransformowanefragmenty

PikseleWspó³rzêdnepikseli

Rysunek 3.6.: Implementacja GPU potoku przetwarzania 3D (na podstawie [41])

Programowalne układy GPU zawieraja wiele jednostek wykonawczych operuja-cych na wektorach (współrzedne wierzchołków, kolory pikseli, etc.) oraz na frag-mentach (kolory pikseli, tekstury). Układ moze realizowac standardowy potok prze-twarzania 3D lub potok w pełni programowalny za pomoca jednostek wierzchołków(ang. vertex shader) oraz fragmentów (ang. pixel shader). Jednostki wierzchołkówodpowiadaja za realizacje przekształcen geometrycznych na wierzchołkach w prze-strzeni 3D (etapy transformacji Model-Widok oraz oswietlenia standardowego po-toku graficznego). Jednostki fragmentów operuja na fragmentach po etapie raste-ryzacji i moga realizowac funkcje mieszania kolorów oraz nakładania tekstur. Obatypy jednostek obliczeniowych posiadaja własny zestaw instrukcji oraz obsługujaokreslone typy danych. W najnowszych układach (np. GeForce 8800) jednostki ob-liczeniowe zostały zunifikowane (tj. nie ma wydzielonych jednostek wierzchołkówi fragmentów), co umozliwia ich dynamiczny przydział do zadan w zaleznosci odpotrzeb [99]. Jednostki wykonawcze GPU sa typu SIMD, czyli jeden strumien in-strukcji jest wykonywany niezaleznie na wielu strumieniach danych wejsciowych,co zapewnia równoległe przetwarzanie wielu strumieni danych. Liczba i mozliwo-sci jednostek zaleza od modelu układu i stopnia jego programowalnosci. Karty gra-ficzne sa wyposazone w dedykowana pamiec o pojemnosci 128–1024 MB, która jestpamiecia operacyjna dla GPU oraz buforem ramki (ang. framebuffer). Architektu-ra i wydajnosc pamieci graficznych jest takze zoptymalizowana do strumieniowego

http://rcin.org.pl

Page 82: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

82 3. Układy i systemy cyfrowego przetwarzania sygnałów

przetwarzania grafiki. Programy wierzchołków i fragmentów maja bardzo ograni-czone mozliwosci dostepu do danych; moga działac jedynie na przekazanych da-nych wejsciowych i nie moga realizowac arbitralnych dostepów do pamieci [98].

Na rys. 3.7 przedstawiono architekture współczesnego procesora graficznegoz rodziny NVIDIA GeForce 8800 [99]. Układ ten (model 8800GTX) zawiera 128 zuni-fikowanych skalarnych jednostek obliczeniowych pracujacych z zegarem 1,35 GHzoraz wyposazony jest w 384-bitowy interfejs do pamieci zewnetrznej. Jednostki ob-liczeniowe sa pogrupowane w 8 klastrów kazdy składajacy sie z 16 multiprocesorów.Kazdy multiprocesor zawiera 16 zunifikowanych jednostek obliczeniowych oraz ze-staw rejestrów, 16 kB pamieci lokalnej i 64 kB pamieci podrecznej (L1). Dodatkowokazdy klaster posiada jedna jednostke teksturujaca realizujaca funkcje adresowaniai filtracji tekstur. Zunifikowane procesory skalarne sa oparte na uniwersalnej liscieinstrukcji i realizuja operacje arytmetyczne na 32-bitowych liczbach stało i zmien-nopozycyjnych.

Rysunek 3.7.: Architektura procesora graficznego NVIDIA GeForce 8800: M1–8 — multiproce-sor, P — jednostka skalarna, T — jednostka teksturowania, L1 — pamiec podreczna pierw-szego poziomu, L2 — pamiec podreczna drugiego poziomu, FB — interfejs do pamieci, ROP

— jednostka operacji rastrowych (na podstawie [99])

http://rcin.org.pl

Page 83: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.1. Systemy cyfrowego przetwarzania sygnałów 83

3.1.4.3. Wydajnosc GPU

Wydajnosc obliczeniowa współczesnych procesorów GPU wielokrotnie przekra-cza wydajnosc najszybszych procesorów komputerów PC (rys. 3.8). Obserwowanawydajnosc najnowszego procesora graficznego NVIDIA GeForce 8800GTX dla ope-racji zmiennopozycyjnych przekracza 300 GFLOPS, natomiast przepustowosc pa-mieci osiaga 80 GB/s [?].

Rysunek 3.8.: Porównanie wydajnosci procesorów GPU i CPU [3]

Ogromna wydajnosc jest zwiazana z masowa równoległoscia (duza liczba jedno-stek wykonawczych) oraz dostepna przepustowoscia pamieci graficznej. Masowarównoległosc przetwarzania mozliwa jest dzieki strumieniowej architekturze prze-twarzania (potok graficzny) oraz brakiem zaleznosci pomiedzy danymi. Taka archi-tektura umozliwia zrównoleglenie wykonywanych obliczen, ale jednoczesnie wpro-wadza ograniczenia na dostep do danych. Dlatego realizacja "nie graficznych" algo-rytmów obliczeniowych na GPU nie jest łatwym zagadnieniem.

3.1.4.4. J ezyki programowania GPU

Jednostki wykonawcze układów GPU (tzw. shadery) sa specjalizowanymi proce-sorami z okreslona lista instrukcji i moga byc programowane w jezyku asemblera.Powstały takze jezyki wysokiego poziomu majace ułatwic programowanie i unieza-leznic programistów od szybko zmieniajacej sie architektury i mozliwosci układów.Zestaw instrukcji jednostek zalezy od modelu układu i stopnia jego programowal-nosci. Obecny trend rozwojowy układów GPU idzie w kierunku unifikacji jednostekwykonawczych.

http://rcin.org.pl

Page 84: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

84 3. Układy i systemy cyfrowego przetwarzania sygnałów

Wsród jezyków programowania wysokiego poziomu shaderów mozna wyróznicjezyki "graficzne" (klasyczne) oraz nowe jezyki aplikacyjne. Jezyki "graficzne" po-wstały do programowania shaderów w zastosowaniach graficznych i wymagaja sto-sowania bibliotek graficznych do ich uruchamiania. Przykładem sa jezyki: Cg (NVI-DIA), HLSL (Microsoft) zwiazane z biblioteka DirectX oraz OpenGL Shading Lan-guage (3Dlabs) wymagajacy biblioteki OpenGL. Zastosowanie jezyków wysokiegopoziomu z dynamiczna generacja kodu dla procesora GPU pozwala na automa-tyczna rekompilacje raz napisanego kodu dla róznych architektur i nowych genera-cji procesorów graficznych. Nowe jezyki aplikacyjne: Accelerator (Microsoft) [127],Brook (Stanford University) [27], CUDA (NVIDIA) [100] nie wymagaja juz stosowa-nia bibliotek graficznych i sa zorientowane na wykorzystanie GPU jako uniwersal-nych procesorów obliczeniowych. Nowe jezyki aplikacyjne ułatwiaja przenoszeniei wektoryzacje algorytmów obliczeniowych, tak aby w pełni wykorzystac architek-ture GPU.

3.2. Uk lady przetwarzania analogowo-cyfrowego

Przetworniki analogowo-cyfrowe (A/C) i cyfrowo-analogowe (C/A) stanowia in-terfejs pomiedzy swiatem analogowym a cyfrowymi systemami przetwarzania sy-gnałów. Parametry przetworników determinuja dokładnosc wejsciowa i/lub wyj-sciowa systemów DSP oraz maja wpływ na parametry dynamiczne całego systemu.W dalszej czesci przedstawiono zagadnienia zwiazane z budowa, specyfikacja orazpomiarami przetworników A/C, które to zagadnienia sa istotne dla pracy i projektuelektronicznego.

3.2.1. Zasada dzia lania przetwornikow A/C

Przetwarzanie analogowo-cyfrowe składa sie z dwóch procesów: próbkowaniai dyskretyzacji. Próbkowanie to proces okreslania wartosci sygnału analogowegow dyskretnych chwilach czasu. Spróbkowany sygnał analogowy jest nastepnie pod-dawany dyskretyzacji, czyli przypisaniu wartosci cyfrowej. Liczba dyskretnych po-ziomów wyjsciowych przetwornika A/C jest okreslona przez jego liczbe bitów (roz-dzielczosci). Funkcje konwersji idealnego przetwornika A/C przedstawia krzywaschodkowa widoczna na rys. 3.9.

W rzeczywistych przetwornikach wystepuja rózne zródła błedów powodujacezniekształcenia tej charakterystyki. Charakterystyka przetwarzania A/C zalezy odbardzo wielu czynników zarówno wewnetrznych, jak i zewnetrznych m.in.:

• parametrów samego przetwornika (które z kolei zaleza od jego budowy

http://rcin.org.pl

Page 85: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.2. Układy przetwarzania analogowo-cyfrowego 85

Rysunek 3.9.: Funkcja konwersji idealnego 3-bitowego przetwornika A/C(kodowanie binarne unipolarne)

wewnetrznej, parametrów struktury półprzewodnikowej, temperatury ze-wnetrznej, etc.),

• parametrów zewnetrznych analogowych układów kondycjonujacych sygnałdo przetwornika,

• parametrów zewnetrznych układów zasilania przetwornika,

• parametrów zewnetrznych układów zegarowych taktujacych przetwornik,

• charakterystyki sygnału wejsciowego (pasma, amplitudy, etc.).

Wszelkie zniekształcenia charakterystyki przetwarzania mozna opisywac za po-moca modeli/zródeł szumu (rys. 3.10). Opis szumowy, popularny w zastosowa-niach elektronicznych oraz telekomunikacyjnych, oparty jest na modelach proba-bilistycznych. W telekomunikacji decydujacym parametrem jakosci kanału trans-misyjnego jest stosunek sygnał-szum, który determinuje jakosc detekcji i jest bez-posrednio zwiazany ze stopa błedów transmisji. Podobnie w ultrasonografii obra-zowanie jest oparte na detekcji sygnału nadawczego w odebranych echach i tutajtakze stosunek sygnał-szum ma znaczenie podstawowe.

3.2.2. Architektura przetwornikow A/C

Obecnie na rynku wystepuje ogromna mnogosc przetworników A/C zoptymali-zowanych do róznorodnych zastosowan w zakresie rozdzielczosci 1–24 bitów orazpredkosci próbkowania od pojedynczych herców do dziesiatków GHz. W zaleznosci

http://rcin.org.pl

Page 86: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

86 3. Układy i systemy cyfrowego przetwarzania sygnałów

fs

BUFORENKODERN-BITOWY

UK£ADPRÓBKUJ¥CY

SYGNA£ANALOGOWY

?szum?zniekszta³cenia?ograniczone pasmo

?szum?zniekszta³cenia?ograniczone pasmo?jitter apertury

?szum kwantyzacji?nieliniowoœæ ró¿nicowa?nieliniowoœæ ca³kowa

SYGNA£CYFROWY

Rysunek 3.10.: Zródła zniekształcen i szumu w układzie przetwornika A/C (na podstawie [61])

od zastosowania (rozdzielczosci i predkosci) mozna wyróznic kilka podstawowycharchitektur przetworników [61]:

SAR (ang. Successive Approximation Register — rejestr sukcesywnej aproksymacji)jest jedna z pierwszych i najbardziej popularnych architektur stosowanychw zakresie predkosci do kilku MSPS (ang. Mega Samples Per Second -milionów próbek na sekunde) i rozdzielczosciach do 18 bitów. Bity rejestrusa w kolejnych krokach ustawiane (zaczynajac od najstarszego), a war-tosc rejestru konwertowana na postac analogowa przez przetwornik C/A iporównywana z wartoscia napiecia wejsciowego. Jesli wartosc analogowaprzekracza wartosc wejsciowa bit jest zerowany, inaczej pozostaje usta-wiony. W ten sposób w n krokach (gdzie n jest liczba bitów przetwornika)generowane jest cyfrowe słowo wyjsciowe.

Sigma-Delta składa sie z układu modulatora, w którym analogowy sygnał wejscio-wy jest kwantyzowany przez jednobitowy przetwornik A/C (komparator).Wyjsciowy sygnał binarny jest z powrotem zamieniany na sygnał analogowy ipo przejsciu przez integrator odejmowany od sygnału wejsciowego. Jednobi-towy strumien z modulatora jest cyfrowo filtrowany i decymowany w celuotrzymania wielobitowego słowa wyjsciowego. Czestotliwosc pracy modu-latora (próbkowania) jest wielokrotnie wyzsza od predkosci przetwarzaniacałego przetwornika. Zastosowanie nadpróbkowania i kształtowania widmaszumu przez filtracje cyfrowa pozwala na uzyskanie wysokiej rozdzielczo-sci wyjsciowej ze strumienia jednobitowego [24, 106]. Taka mozliwosc wyni-ka z faktu, ze szum kwantyzacji rozkłada sie równomiernie w całym pasmiedzieki czemu jego poziom w wyfiltrowanej waskiej czesci pasma moze zostacobnizony. Przetworniki Sigma-Delta charakteryzuja sie duza rozdzielczoscia(16–24 bitów) oraz predkoscia do pojedynczych MSPS. Główny obszar zasto-sowan tych konwerterów to aplikacje audio oraz systemy pomiarowe sygna-łów wolnozmiennych.

http://rcin.org.pl

Page 87: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.2. Układy przetwarzania analogowo-cyfrowego 87

Flash — przetworniki równoległe sa zbudowane w oparciu o zestaw 2n−1 kompa-ratorów (gdzie n jest liczba bitów przetwornika), które dokonuja jednocze-snego porównania napiecia wejsciowego z ułamkowymi czesciami napieciaodniesienia, wygenerowanymi za pomoca dzielników. Najwieksza zaleta tejarchitektury jest jej szybkosc działania (do kilku GSPS). Ze wzgledu na bar-dzo duza liczbe wymaganych komparatorów, a co za tym idzie wysoki pobórpradu, przetworniki w tej architekturze nie przekraczaja rozdzielczosci 8 bi-tów.

Pipelined — przetworniki potokowe (lub szeregowo-równoległe) składaja sie z kil-ku stopni porównania. Kazdy stopien porównania wytwarza kilka bitów wy-niku i składa sie z przetwornika równoległego (Flash), przetwornika C/A orazanalogowych układów róznicowych (rys. 3.11). Kazdy kolejny stopien jestoddzielony od poprzedniego układem sledzaco-pamietajacym (ang. T/H —Track & Hold). Pierwszy stopien wytwarza najstarsze bity wyniku i odejmu-je zmierzona wartosc sygnału analogowego od napiecia wejsciowego. Kolej-ne stopnie dyskretyzuja otrzymana "resztkowa" wartosc sygnału. Dzieki po-tokowej architekturze czestotliwosc pracy przetwornika jest równa czasowiprzejscia przez pojedynczy blok. Poniewaz jednak wytworzenie wyniku wy-maga przejscia sygnału przez wszystkie kolejne bloki wystepuje opóznieniepotokowe (zwane takze latencja). Obecnie dostepne sa przetworniki poto-kowe w zakresie rozdzielczosci 10–16 bitów i predkosci do 500 MSPS. Duzapredkosc działania przy stosunkowo wysokiej rozdzielczosci powoduje, zeten typ przetworników jest szeroko stosowany w telekomunikacji oraz ultra-sonografii.

Reference

TimingCLK

OVR D[13:0]

CLK

6

DRY

VREF

VIN

VINTH1

5 5

S

DAC2ADC2

ADC3S

DAC1ADC1

Digital Error Correction

+

+

DRYOVR

A1 TH2 A2 A3TH3

Rysunek 3.11.: Budowa przetwornika potokowego na przykładzie 14-bitowego przetworni-ka A/C firmy Texas Instruments ADS5474 [129]: A1–3 — wzmacniacze, TH1–3 — układy

sledzaco-próbkujace, ADC1–3 — przetworniki A/C, DAC1–2 — przetworniki C/A

http://rcin.org.pl

Page 88: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

88 3. Układy i systemy cyfrowego przetwarzania sygnałów

W technice ultradzwiekowej (ultrasonografia, doppler, badania nieniszczace) dodigitalizacji sygnału w.cz. najczesciej stosowane sa przetworniki potokowe o roz-dzielczosciach 8–14 bitów i predkosciach 40–70 MSPS. Do zastosowan w ultraso-nografach z cyfrowym beamformingiem sa dostepne specjalizowane przetwornikiwielokanałowe (2–8 kanałów w jednym układzie) pozwalajace na znaczaca redukcjerozmiarów urzadzen [16].

3.2.3. Specyfikacja przetwornikow A/C

Układy przetworników A/C sa specyfikowane przy pomocy wielu parametrówokreslajacych ich własnosci pomiarowe. Parametry te mozemy podzielic na para-metry statyczne oraz dynamiczne, w zaleznosci od typu sygnału uzytego do ichpomiaru. Do parametrów statycznych nalezy rozdzielczosc (okreslajaca liczbe bi-tów przetwornika) oraz błedy wzmocnienia, zera, nieliniowosci, etc. — okreslaja-ce rózne typy zniekształcen charakterystyki w stosunku do przetwornika idealnego.Niestety opis za pomoca parametrów statycznych nie jest wystarczajacy dla sygna-łów zmiennych w czasie z jakimi mamy najczesciej do czynienia w przetwarzaniucyfrowo-analogowym. Pomiar parametrów dynamicznych przetwornika przy uzy-ciu sygnałów zmiennych jest znaczaco trudniejszy gdyz zalezy takze od charaktery-styki sygnału wejsciowego. W przypadku niektórych zastosowan konieczna jest cha-rakteryzacja przetwornika przy pomocy okreslonego typu sygnałów wejsciowychspecyficznych dla tego zastosowania. Producenci układów A/C ustalili pewien ze-staw parametrów dynamicznych i warunków ich pomiarów, który jest specyfiko-wany dla danego typu przetworników (choc wystepuja pewne róznice pomiedzyproducentami). Dla wielu zastosowan ten kanon parametrów okreslanych w specy-fikacji przetwornika jest wystarczajacy. W dalszej czesci przedstawiono przeglad pa-rametrów statycznych i dynamicznych przetworników A/C oraz zagadnienia zwia-zane z ich pomiarami.

3.2.3.1. Parametry statyczne

Parametry statyczne przetworników A/C sa okreslane i mierzone dla statycznychpobudzen wejsciowych. Najwazniejsze parametry statyczne zostały krótko przed-stawione (rys. 3.12) i opisane ponizej [14]:

Dokładnosc okresla maksymalny całkowity bład pomiarowy przetwornika przyokreslonym napieciu sygnału wejsciowego. Bład ten zawiera wszystkie moz-liwe zródła błedów statycznych: bład kwantyzacji, zera, wzmocnienia, nie-liniowosci. Technicznie dokładnosc pomiarowa przetwornika moze byc ka-librowana wg. standardów przemysłowych (np. National Institute of Stan-

http://rcin.org.pl

Page 89: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.2. Układy przetwarzania analogowo-cyfrowego 89

dards and Technology) ale nie jest to praktykowane dla układów scalonych.

Rozdzielczosc jest bezposrednio zwiazana z liczba bitów przetwornika A/C i ozna-cza wartosc najmniej znaczacego bitu (LSB — ang. Least Significant Bit).Liczba bitów przetwornika/rozdzielczosc determinuje takze nominalny za-kres dynamiki, szerokosc kodu (wartosc napiecia) oraz bład kwantyzacji.

Zakres dynamiki jest stosunkiem maksymalnej wartosci wyjsciowej do minimal-nej wartosci wyjsciowej i moze byc okreslony dla danej liczby bitów prze-twornika N jako: 20log10(2N ).

Bład zera (offsetu) jest odchyłka wartosci napiecia wejsciowego od idealnej warto-sci 0,5 LSB dla której powinno nastapic pierwsze przejscie kodu wyjsciowego(rys. 3.12a).

Bład wzmocnienia jest odchyłka nachylenia funkcji konwersji przetwornika odidealnej linii łaczacej punkty zero i pełnej skali (rys. 3.12b).

Bład nieliniowosci róznicowej jest odchyłka szerokosci kodu od idealnej wartosci1 LSB (rys. 3.12c). W specyfikacjach mozna najczesciej spotkac sie z maksy-malna wartoscia tej odchyłki.

Bład nieliniowosci całkowej jest odległoscia pomiedzy przejsciem kodu funk-cji konwersji przetwornika od punktu przejscia kodu dla funkcji idealnej(rys. 3.12d).

Brakujacy kod oznacza wyjsciowy kod cyfrowy, który nie wystepuje dla zadnejwartosci napiecia wejsciowego (rys. 3.12e). Brakujace kody moga byc spowo-dowane duzym błedem nieliniowosci róznicowej lub niemonotonicznosciafunkcji konwersji przetwornika.

Bład/szum kwantyzacji spowodowany jest skonczona rozdzielczoscia przetworni-ka A/C, która ogranicza stosunek sygnał-szum. Szum kwantyzacji jest im-manentna cecha przetworników A/C, która wystepuje takze dla przetworni-ka idealnego (!). W wiekszosci przypadków bezpieczne jest załozenie, ze jestto szum nieskorelowany i jednorodny, co pozwala obliczyc stosunek sygnał-szum jako: SNR = 6,02 ·N +1,76 [dB].

3.2.3.2. Parametry dynamiczne

Parametry dynamiczne sa okreslane dla przetwornika przy pobudzeniu sygna-łem zmiennym w czasie o okreslonej charakterystyce. Wewnetrzne i zewnetrznezródła szumów degraduja stosunek sygnał-szum idealnego przetwornika, w którymwystepuje jedynie szum kwantyzacji. Ponizej przedstawiono najwazniejsze z pa-rametrów dynamicznych specyfikowanych przez producentów układów w kartachkatalogowych.

http://rcin.org.pl

Page 90: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

90 3. Układy i systemy cyfrowego przetwarzania sygnałów

a) Bład zera b) Bład wzmocnienia

c) Bład nieliniowosci róznicowej d) Bład nieliniowosci całkowej

e) Bład monotonicznosci/brakujacy kod f) Bład niejednoznacznosci próbkowania

Rysunek 3.12.: Zniekształcenia i błedy przetworników A/C (na podstawie [14])

http://rcin.org.pl

Page 91: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.2. Układy przetwarzania analogowo-cyfrowego 91

A

D

F

B C

0

-20

-40

-60

-80

-100

-120

-140

-160

Am

plit

uda [dB

]

0 10 20 30 40 50

Czêstotliwoœæ [kHz]

DE

Rysunek 3.13.: Definicja parametrów dynamicznych na przykładowym widmie FFT (8192punktów) dla czestotliwosci wejsciowej fi n = 10kHz: A — sygnał podstawowy, B — pierwszaharmoniczna, C — druga harmoniczna, D — SFDR, E — SINAD, F — sredni poziom szumów

(na podstawie [14])

SINAD (ang. Signal to Noise and Distortion Ratio — Stosunek sygnał-szum + zakłócenia)jest to stosunek wyrazony w decybelach wejsciowej wartosci RMS (ang. Ro-ot Mean Square — wartosc skuteczna) sygnału do wartosci RMS szumuprzetwornika w pasmie od 0 Hz do czestotliwosci Nyquista. Parametr tenjest okreslany dla pobudzen sygnałem sinusoidalnym o wybranej czesto-tliwosci, a obliczenia realizowane sa na widmie FFT sygnału cyfrowego zprzetwornika (rys. 3.13). SINAD zalezy od czestotliwosci i amplitudy sygnałuwejsciowego dlatego czesto jest wykreslany w funkcji tych parametrów.

ENOB (ang. Effective Number of Bits — Efektywna liczba bitów) okresla efektyw-na liczbe bitów idealnego przetwornika A/C odpowiadajacego dynamice da-nego przetwornika SINAD wyrazonej w decybelach — zgodnie z zaleznoscia:ENOB = (SINAD−1,76)

6,02 . Efektywna liczba bitów nie jest najczesciej liczba całko-wita, ale daje dobre pojecie o jakosci przetwornika w stosunku do jego dekla-rowanej rozdzielczosci.

SFDR (ang. Spurious-Free Dynamic Range — zakres dynamiki bez zakłócen)jest to stosunek wyrazony w decybelach wejsciowej wartosci sygnału dowartosci najwiekszego zakłócenia w widmie FFT (rys. 3.13). Najczesciejtym maksymalnym zakłóceniem jest harmoniczna sygnału wejsciowegopojawiajaca sie w widmie przetwornika spowodowana nieliniowoscia. SFDRzalezy od czestotliwosci i amplitudy sygnału wejsciowego.

THD (ang. Total Harmonic Distortion — całkowite zniekształcenia harmoniczne)

http://rcin.org.pl

Page 92: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

92 3. Układy i systemy cyfrowego przetwarzania sygnałów

jest stosunkiem wyrazonym w decybelach wejsciowej wartosci RMS sygnałudo sumy RMS pierwszych pieciu harmonicznych sygnału. Nalezy zauwazyc,ze wyzsze harmoniczne, których czestotliwosci wykraczaja poza czestotli-wosci Nyquista, ulegaja nałozeniu (aliasingowi) na dolna czesc pasma.

IMD (ang. Intermodulation distortion — zniekształcenia intermodulacyjne) saokreslone dla sygnału wejsciowego w postaci dwóch sygnałów sinusoidal-nych o czestotliwosciach f 1, f 2 i obliczane jako stosunek mocy sygnałuwejsciowego do mocy produktów intermodulacyjnych bedacych sygnałamio czestotliwosciach równych sumie i róznicy sygnałów wejsciowych.

Opóznienie apertury (ang. Aperture Delay) oznacza opóznienie pomiedzy sygna-łem rozpoczecia konwersji (zboczem zegara próbkujacego) a momentemrzeczywistego próbkowania wartosci analogowej na wejsciu (rys. 3.14).

Jitter apertury (ang. Aperture Jitter) jest zmiennoscia (rozrzutem) wartosci opóz-nienia apertury wystepujacym w czasie pomiedzy kolejnymi konwersjami.Jitter apertury jest zjawiskiem losowym i powoduje powstanie dodatkowegoszumu na wyjsciu przetwornika zwiazanego z błedami próbkowanego na-piecia (rys. 3.14). Jitter jest specyfikowany w postaci funkcji gestosci praw-dopodobienstwa lub w postaci statystyk np. RMS. Nalezy podkreslic, ze nacałkowity jitter apertury systemu przetwarzania A/C składa sie jitter apertu-ry samego przetwornika oraz jitter zewnetrznego zegara próbkujacego.

SYGNA£ ANALOGOWY

TRACK

HOLD

×

dt

?v

dv

dv

dt

?tRMS

= JITTER APERTURY PRÓBKOWANIA

?vRMS

= ?t

= Nachylenie = B£¥D PRÓBKOWANIA

SYGNA£ T/H

Rysunek 3.14.: Definicja jittera apertury próbkowania przetwornika A/C (na podstawie [61])

http://rcin.org.pl

Page 93: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.2. Układy przetwarzania analogowo-cyfrowego 93

3.2.3.3. Jitter w uk ladach przetwarzania A/C

Zagadnienie jittera w układach przetwarzania A/C wysokich czestotliwosci jestbardzo istotne, gdyz czesto determinuje mozliwy do uzyskania stosunek sygnał-szum [123, 23]. Jitter apertury jest odchyłka czasowa od idealnej pozycji zbo-cza sygnału próbkujacego i składa sie na niego jitter przetwornika (jego ukła-du próbkujaco-pamietajacego) oraz jitter sygnału zegarowego synchronizujacegoprzetwornik (rys. 3.14). Jitter jest procesem losowym dlatego jego pomiary wyma-gaja metod statystycznych [?]. Całkowity jitter TJ (ang. Total Jitter) składa sie z jitte-ra przypadkowego RJ (ang. Random Jitter) oraz jittera deterministycznego DJ (ang.Deterministic Jitter). Jitter losowy to róznego rodzaju zaburzenia losowe (przedewszystkim procesy termiczne), które łacznie składaja sie na jego gaussowski kształtrozkładu prawdopodobienstwa. Czesc deterministyczna to efekt zaburzen systema-tycznych np. rózne czasy narastania i opadania zboczy zegarowych, synchronicznezakłócenia z innych zródeł (np. zasilania), itp..

Jitter apertury jest powodem powstawania szumu próbkowania przez co ograni-cza stosunek sygnał-szum przetwornika. Dla wejsciowego sygnału sinusoidalnego oamplitudzie A i czestosciωmaksymalne nachylenie wystepuje przy przejsciu przezzero i wynosi ωA. Wyjsciowe napiecie szumu przy jitterze apertury równym ta wy-nosi [22]:

Vrms = taωA = ta2π f A

natomiast stosunek sygnał-szum:

SNR jitter = 20log10

(A

Vrms

)= 20log10

(1

2π f ta

).

Korzystajac z powyzszej zaleznosci na rys. 3.15 przedstawiono wykres mozliwej douzyskania efektywnej liczby bitów przetwarzania A/C w zaleznosci wielkosci jitteraapertury oraz czestotliwosci wejsciowego sygnału w.cz.. Parametry jittera przetwor-ników A/C sa najczesciej wystarczajaco dobre, wiec o jitterze całego układu decy-duje jakosc zegara. Na rys. 3.15 pokazano takze zakresy jittera uzyskiwane przezposzczególne typy oscylatorów:

• VCO (ang. Voltage Controlled Oscillator — oscylator kontrolowany napie-ciem) z petla fazowa PLL,

• VCXO (ang. Voltage Controlled Crystal Oscillator — oscylator kwarcowy kon-trolowany napieciem),

• oscylator niskoszumny.

Specjalizowane waskopasmowe układy petli fazowych (PLL) sa takze stosowane ja-ko dodatkowe filtry jittera [?].

http://rcin.org.pl

Page 94: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

94 3. Układy i systemy cyfrowego przetwarzania sygnałów

1

1000

100

10

1

0.1

10 100 10003 30 3000.03

OSC. VCO z PLL

OSCYLATOR VCXO

OSCYLATOR NISKOSZUMNY

CZÊSTOTLIWOŒÆ SYGNA£U WEJ. [MHz]

8-bitowy

Jit

ter

[ps]

10-bitowy12-bitowy

6-bitowy

4-bitowy

14-bitowy

18-bitowy

16-bitowy

Rysunek 3.15.: Zaleznosc efektywnej liczby bitów przetwornika A/C od jittera apertury orazczestotliwosci próbkowanego sygnału (na podstawie [61])

W ostatnich latach na rynku pojawiły sie specjalizowane układy do generacjii dystrybucji zegara o odpowiednio wysokich parametrach (np. Analog DevicesAD9510). Oprócz jakosci zegara takze sposób jego doprowadzenia do przetworni-ka A/C ma istotne znaczenie. Obecnie coraz czesciej stosuje sie dystrybucje zegaraza pomoca róznicowych interfejsów cyfrowych (np. LVDS, LVPECL), które zapew-niaja najwieksza odpornosc na zakłócenia zewnetrzne. Układy cyfrowe duzej skaliintegracji (szczególnie FPGA) sa zródłem duzego jittera dlatego nie moga byc stoso-wane do dystrybucji zegara [22, 118].

3.2.4. Pomiary uk ladow przetwornikow

Duza liczba parametrów przetworników wymaga wielu róznych metod i algoryt-mów pomiarowych. Parametry statyczne sa stosunkowo najłatwiejsze do okresleniagdyz wymagaja doprowadzenia stałego precyzyjnego napiecia na wejscie przetwor-nika i wykonania pewnej liczby pomiarów. Zmieniajac napiecie wejsciowe moznazdjac cała charakterystyke przetwarzania przetwornika. Za pomoca metod staty-stycznych (np. histogram) mozna okreslic rozrzut wartosci pomiarowych. Dla para-metrów dynamicznych istnieje kilka róznych metod pomiarowych (np. metoda FFT,metoda histogramu, metoda dopasowania krzywej), przy czym niektóre z nich słu-

http://rcin.org.pl

Page 95: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.2. Układy przetwarzania analogowo-cyfrowego 95

za do okreslania tylko jednego parametru. Najpopularniejsza metoda, ze wzgleduna jej uniwersalnosc, jest metoda pomiarowa przy pomocy transformaty Fouriera(zwana takze metoda FFT), która zostanie krótko przedstawiona [25].

3.2.4.1. Pomiar parametrow dynamicznych metod a FFT

W metodzie FFT parametry dynamiczne (SNR, SINAD, SFDR, THD, ENOB, IMD)sa okreslane z widma FFT sygnału na wyjsciu przetwornika pobudzonego sygnałemsinusoidalnym [70]. Na wejscie przetwornika jest doprowadzany sygnał sinusoidal-ny z generatora o dobrze okreslonej czestotliwosci i amplitudzie. Generator musicharakteryzowac sie jakoscia sygnału znaczaco wyzsza od parametrów mierzone-go przetwornika. Czesto ze wzgledu na zbyt wysokie zniekształcenia harmonicznena wyjsciu generatorów stosuje sie waskopasmowe filtry pasywne [73]. Cyfrowe da-ne z wyjscia przetwornika A/C sa z czestotliwoscia próbkowania zapisywane w bu-forze pamieci. Rekord danych o długosci standardowo 4096–16384 jest poddawa-ny transformacie FFT i prezentowany jako widmo mocy sygnału [71]. Na rys. 3.16przedstawiono schematycznie wykres widma 4096 punktowej FFT i zaleznosci po-ziomu szumów dla idealnego 12-bitowego przetwornika. Długosc okna FFT wpły-wa na rozdzielczosc czestotliwosciowa oraz na poziom szumu FFT. Poziom szumukwantyzacji zalezy od liczby bitów przetwornika (nie zalezy od długosci okna). De-finicje parametrów dynamicznych na widmie FFT przedstawiono na rys. 3.13.

Poziom RMS szumu kwantyzacji

Poziom szumu FFT

Rozdzielczoœæ czêstotliwoœci =

POZIOM 0 dB

[dB]

0

-20

-40

-60

-80

-100

-120

74dB = 6.02N + 1.76dB

33dB = 10log

N = 12-BITSM = 4096

fs4096

fs2

-74dB

-107dB

M

2( )10

Rysunek 3.16.: Dynamika widma 4096 punktowego FFT dla idealnego 12-bitowego przetwor-nika A/C (na podstawie [61])

http://rcin.org.pl

Page 96: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

96 3. Układy i systemy cyfrowego przetwarzania sygnałów

Metoda FFT ma pewne niedoskonałosci zwiazane z własnosciami dyskretnejtransformaty Fouriera, które wystepuja dla szczególnych stosunków czestotliwosciwejsciowej, czestotliwosci próbkowania oraz długosci okna. W celu unikniecia błe-dów zaleca sie stosowanie tzw. próbkowania koherentnego [72] dla którego:

fwej./ fpróbk. = Ncykli/NFFT,

gdzie: fwej. — czestotliwosc sygnału wejsciowego, fpróbk. — czestotliwosc próbko-wania przetwornika, Ncykli — całkowita liczba cykli w oknie próbkowania, NFFT —liczba próbek okna próbkowania.

W przypadku niemozliwosci spełnienia powyzszego warunku wystepuje efektrozmycia widma (ang. spectral leakage) objawiajacy sie poszerzeniem prazka wid-ma czestotliwosci wejsciowej. Nalezy wtedy stosowac znane z literatury funkcjeokna (np. Hamminga), które zmniejszaja ten niepozadany efekt [67, 146].

3.3. Budowa ultrasonografu

Ultrasonografy sa bardzo złozonymi systemami elektronicznymi wykorzystuja-cymi najnowsze osiagniecia mikroelektroniki i cyfrowego przetwarzania sygnałów.W tym rozdziale przedstawiono schemat blokowy aparatu i przetwarzania sygnałuw klasycznej (nie kodowanej) ultrasonografii. Poniewaz dalsza praca dotyczy reali-zacji jednokanałowego systemu obrazujacego z głowica jednoelementowa i mecha-nicznym skanowaniem wiazki, zagadnienia beamformingu, głowic wieloelemento-wych oraz trybu dopplera nie beda omawiane. Niezbedne modyfikacje klasycznegotoru przetwarzania dla potrzeb transmisji kodowanej zostana opisane w podroz-dziale 4.1..

3.3.1. Tor przetwarzania sygna lu w ultrasonografii obrazowej

Schemat blokowy ultrasonografu przedstawiono na rysunkach 3.17, 3.18. Układnadajnika generuje krótkie impulsy wysokiego napiecia, które pobudzaja przetwor-nik głowicy ultradzwiekowej. Sygnały elektryczne ech z przetwornika po przej-sciu przez układ przełacznika Nadawanie/Odbiór trafiaja do układu wzmacnia-czy. Układ przełacznika ma na celu zabezpieczenie bardzo czułych stopni wej-sciowych przed impulsami nadawczymi o wysokim napieciu. Układ wzmacniaczyskłada sie z przedwzmacniacza niskoszumnego oraz wzmacniacza o regulowanymwzmocnieniu ZRW (zasiegowej regulacji wzmocnienia). Wzmacniacz ZRW pozwa-la na zmiane wzmocnienia w trakcie odbioru ech niwelujac spadek amplitudy sy-gnału spowodowanym tłumieniem w tkance. Krzywa zasiegowej regulacji wzmoc-nienia ZRW steruje zmiana wzmocnienia z głebokoscia. Sygnał analogowy w.cz.

http://rcin.org.pl

Page 97: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.3. Budowa ultrasonografu 97

po wzmocnieniu moze byc demodulowany analogowo (rys. 3.17) lub digitalizowa-ny i demodulowany cyfrowo (rys. 3.18). Demodulator analogowy jest realizowanyw postaci układu prostownika i filtru dolnoprzepustowego. Sygnał po demodula-torze jest juz tzw. sygnałem wideo linii obrazowej i jest na tym etapie poddawanyfiltracji oraz kompresji logarytmicznej. Logarytmiczna kompresja dynamiki powo-duje spłaszczenie amplitud duzych sygnałów przy jednoczesnym "wyciagnieciu"amplitud małych ech, pozwalajac na ich jednoczesna prezentacje na ekranie. Na-lezy pamietac, ze dynamika wyswietlaczy ekranowych wynosi 20–30 dB, a dynami-ka sygnału ech łatwo przekracza 60 dB (przy właczonym ZRW). Konstrukcja głowicze skanowaniem mechanicznym powoduje, ze linie wideo sa zbierane w geometriisektora (wycinek pierscienia), co powoduje ze wyswietlanie ich na ekranie monito-ra wymaga przeprowadzenia konwersji geometrii do układu kartezjanskiego. Układkonwertera geometrii (tzw. scan-converter) moze dodatkowo przeprowadzac inter-polacje przy wyliczaniu jasnosci punktów ekranowych obrazu, poprawiajac jakoscwyswietlanego obrazu. W prezentacji B (ang. B-mode) przetworzona amplituda sy-gnału ech jest wyswietlana w skali szarosci lub w innej palecie kolorowej. Dodatko-wo w aparatach stosowana jest cyfrowa obróbka sygnału i obrazu w celu poprawyjakosci wyswietlanego obrazu.

Przełącznik

Nadawanie/Odbiór

Głowica

Wzm. LOG

Przetwornik

A/CPamięć

Tworzenie obrazu

(scan-converter)

sygnał wideo

Nadajnik impulsowy

Demodulator

Ekran

Wzm. ZRW

Rysunek 3.17.: Schemat blokowy toru obrazowego ultrasonografu z analogowym demodula-torem

3.3.2. Technologie cyfrowe w polskich aparatach

Obecnie w Polsce produkowane sa aparaty klasy podstawowej lub srednio za-awansowanej z przetwarzaniem czesciowo analogowym. Zaawansowane aparatyz w pełni cyfrowym przetwarzaniem sygnału (cyfrowym beamformerem) sa do-mena swiatowych potentatów (Philips, Siemens, Toshiba). Pomimo reprezentowa-

http://rcin.org.pl

Page 98: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

98 3. Układy i systemy cyfrowego przetwarzania sygnałów

Przełącznik

Nadawanie/Odbiór

Głowica

Pamięć

Tworzenie obrazu

(scan-converter)

Nadajnik impulsowy

Przetwornik A/C

Przetwarzanie cyfrowe:

demodulacja,

detekcja obwiedni, logarytm

sygnał w.cz.

Ekran

Wzm. ZRW

Rysunek 3.18.: Schemat blokowy toru obrazowego ultrasonografu z cyfrowym demodulato-rem

nia innej klasy urzadzen, krajowe aparaty sa bardzo nowoczesne pod wzgledemtechnologicznym (szczególnie Echo-Son S.A.). Widoczny jest trend do "digitaliza-cji" urzadzen – tj. coraz wczesniejszego cyfryzowania sygnału analogowego i dal-szej obróbki cyfrowej. Klasycznie w ultrasonografach klasy podstawowej cyfryzacjasygnału jest realizowana po stopniach analogowych beamformera i demodulatora,czyli na tzw. sygnale wideo. W najnowszych konstrukcjach Echo-Son S.A. cyfryzo-wany jest sygnał w.cz. po analogowym beamformerze przed demodulacja. Takie po-dejscie daje nowe mozliwosci obróbki sygnału, ale znaczaco zwieksza wymaganiaobliczeniowe systemu. W przypadku konstrukcji Echo-Son S.A. wiekszosc przetwa-rzania sygnału obrazowego jest realizowana w sposób sprzetowy przy pomocy pro-gramowalnych struktur logicznych FPGA. Jedynie niektóre stopnie przetwarzaniawymagajace bardziej złozonych algorytmów i charakteryzujace sie mniejsza prze-pustowoscia sa realizowane softwarowo za pomoca procesorów DSP. W szczegól-nosci do tego typu bloków nalezy przetwarzanie Dopplera (Power, Color Doppler),których implementacja w układach FPGA byłaby bardzo złozona i nieuzasadnionaze wzgledów wydajnosciowych. Taka technologia realizacji przetwarzania cyfrowe-go jest optymalna w tej klasie urzadzen, ale niesie pewne ograniczenia rozwojowe.W szczególnosci implementacja bardziej złozonych metod obróbki sygnału w ukła-dach FPGA jest trudna i bardzo pracochłonna. Ponadto złozonosc algorytmów po-ciaga za soba złozonosc układu FPGA, a to wiaze sie z kosztami urzadzenia orazmoze wymagac zmian sprzetowych — stosowanie wiekszych układów. Tak wiec im-plementacja czysto sprzetowa toru przetwarzania cyfrowego jest trudna i wiaze siez duzymi kosztami realizacji oraz produkcji. Implementacja softwarowa nie tylkonie ma tych ograniczen, ale otwiera nowe mozliwosci. Rozwój softwarowych algo-rytmów jest znacznie prostszy i moze byc realizowany w jezykach wysokiego pozio-

http://rcin.org.pl

Page 99: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

3.3. Budowa ultrasonografu 99

mu, co wpływa na szybkosc i koszty realizacji. Algorytmy softwarowe mozna takzełatwiej uruchamiac i weryfikowac na komputerach PC przed przeniesieniem ich naplatforme docelowa (np. DSP). Uniwersalna platforma softwarowa moze udostep-niac mozliwosc realizacji algorytmów firmom trzecim i osrodkom badawczym, cojest raczej nie do pomyslenia w przypadku rozwiazan sprzetowych.

Drugim producentem w Polsce jest firma Teson produkujaca aparaty oparte nakomputerze PC. Konstrukcja urzadzen na bazie PC jest dosc popularna, ze wzgleduna duza baze oprogramowania i obsługiwanych urzadzen zewnetrznych. Kompu-ter PC wyposazony w system operacyjny (np. Microsoft Windows) bardzo dobrzenadaje sie do realizacji zadan zwiazanych z interfejsem uzytkownika, wizualizacja,składowaniem, przesyłaniem (obsługa sieci komputerowych) oraz drukowaniem.Powszechna znajomosc okienkowego interfejsu uzytkownika ułatwia obsługe urza-dzen opartych na Microsoft Windows. Wbudowane w aparaty Teson komputery PCrealizuja własnie tego typu funkcje, realizacja przetwarzania cyfrowego jest ograni-czona do funkcji wizualizacji (krzywe post processingu, zoom, filtry obrazowe).

W zakresie ultrasonografii wysokiej czestotliwosci jedynym produkowanymw kraju aparatem jest Desmin firmy Echo-Son S.A., który został opracowany przyudziale Zakładu Ultradzwieków IPPT PAN (w tym autora). Desmin współpracujez głowica sektorowa na 20 MHz i umozliwia obrazowanie do 5 mm. Główna apli-kacja tego urzadzenia jest dermatologia i oftalmologia.

http://rcin.org.pl

Page 100: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

http://rcin.org.pl

Page 101: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4

Projekt systemu

Pierwotne załozenia funkcjonalno-techniczne dla system ultrasonografu z transmi-sja kodowana obejmowały nastepujace punkty:

1. Budowa kompletnego ultradzwiekowego systemu obrazujacego z transmisjakodowana.

2. Praca systemu w zakresie czestotliwosci ultradzwiekowych 20–30 MHz.

3. Przetwarzanie i wyswietlanie w czasie rzeczywistym z predkoscia co naj-mniej 5 klatek/s.

4. Wsparcie dla nadawania kodów z modulacja czestotliwosci (chirp) i fazy (ko-dy algebraiczne Barkera, Golaya).

5. Współpraca z sektorowa głowica mechaniczna (pojedynczy przetwornik).

Od poczatku przyjeto załozenie, ze system bedzie składał sie z modułu nadawa-nia i akwizycji oraz komputera PC realizujacego funkcje sterujace oraz wyswietla-nia. Sposób realizacji cyfrowego przetwarzania sygnałów, a w szczególnosci bardzozłozonego obliczeniowo algorytmu kompresji kodów, był sprawa otwarta. Ze wzgle-du na specyficzne wymagania modułu nadawania i akwizycji zdecydowano sie naopracowanie całkowicie własnej elektroniki zamiast adaptacji dostepnych na rynkurozwiazan.

4.1. Architektura systemu

4.1.1. Tor przetwarzania ultrasonografu z transmisj a kodowan a

Zastosowanie transmisji kodowanej wymaga gruntownych zmian w torzenadawczo-odbiorczym ultrasonografu [30]. Klasyczny tor przetwarzania ultrasono-grafu przedstawiony w podrozdziale 3.3. (rys. 3.17, 3.18) wymaga nastepujacychmodyfikacji (rys. 4.1):

• Liniowy odbiornik. Kodowanie sygnału a nastepnie jego kompresja czaso-wa wymaga liniowosci całego toru nadawczo-odbiorczego. W ultrasonogra-fach z analogowym demodulatorem stosuje sie wzmacniacz logarytmiczny,

http://rcin.org.pl

Page 102: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

102 4. Projekt systemu

który kompresuje dynamike sygnału wideo, co pozwala na digitalizacje sy-gnału za pomoca przetworników A/C o mniejszej liczbie bitów (zwykle 6–8-bitowych).

• Liniowy wzmacniacz mocy. W aparatach z nadawaniem klasycznym do ge-neracji sygnałów nadawczych stosowane sa układy generatorów impulso-wych wysokiego napiecia. Przy nadawaniu kodowanym wymagany jest gene-rator sygnałów modulowanych czestotliwosciowo i/lub fazowo oraz liniowywzmacniacz mocy. Konstrukcja liniowego i szerokopasmowego wzmacnia-cza mocy na zakres wysokich czestotliwosci jest szczególnie trudna.

• Generator sygnałów kodowanych. W zaleznosci od stosowanych sygnałówkodowanych wymagany jest programowalny generator z modulacja czesto-tliwosci i/lub fazy. Alternatywa jest w pełni arbitralny generator sygnałówumozliwiajacy generacje dowolnych przebiegów.

• Akwizycja sygnału w.cz. o duzej dynamice. Wzmocniony w sposób linio-wy sygnał w.cz. ech charakteryzuje sie duza dynamika (> 60dB), dlatego ko-nieczne jest stosowanie przetworników A/C co najmniej 10-bitowych. Prób-kowanie sygnału w.cz. wymaga takze zachowania odpowiednio wysokiej cze-stotliwosci próbkowania — w praktyce przyjmuje sie, ze predkosc próbkowa-nia powinna wynosic 3–5 krotnosc czestotliwosci stosowanych ultradzwie-ków.

• Kompresja sygnałów kodowanych. Cyfrowy sygnał w.cz. ech w transmisjikodowanej musi zostac skompresowany czasowo w celu odzyskania roz-dzielczosci. Algorytm kompresji polega na korelacji sygnału ech z sygnałemnadawczym (kodem). Realizacja tego algorytmu w czasie rzeczywistym wy-maga bardzo wydajnego systemu obliczeniowego.

Powyzsze zmiany nie sa prosta modyfikacja obecnych urzadzen i wymagaja zu-pełnie nowego opracowania układów nadawczych oraz przetwarzania sygnałów.Te powazne i kosztowne modyfikacje powoduja, ze producenci sprzetu ultrasono-graficznego nie spiesza sie z wdrazaniem techniki transmisji kodowanej w apara-tach [44].

4.1.2. Analiza rozwi azan

Ogólna architektura zaprojektowanego systemu ultrasonografu została przed-stawiona na rys. 4.2. Analize rozwiazan przeprowadzono majac na uwadze mozli-wosci realizacji (dostepne srodki, sprzet oraz doswiadczenie).

Najwazniejsza decyzja projektowa był wybór srodków cyfrowego przetwarzaniasygnałów (w tym kompresji kodów). Przeanalizowano dostepne rozwiazania (roz-

http://rcin.org.pl

Page 103: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.1. Architektura systemu 103

Przełącznik

Nadawanie/Odbiór

Głowica

Pamięć

Tworzenie obrazu

(scan-converter)

Liniowy wzm. mocy

Przetwornik A/C

Kompresja

czasowa

sygnał w.cz.

Przetwarzanie cyfrowe:

detekcja obwiedni, logarytm

Generator sygnałów

kodowanych

Ekran

Wzm. ZRW

Rysunek 4.1.: Schemat blokowy toru obrazowego ultrasonografu z transmisja kodowana

Panel

regulacyjny

Moduł

kodera-digitizera

Analogowe

układy wej./wyj.

Komputer PC,

oprogramowanie

sterujące

i przetwarzające

Głowica

ultradźwiękowa

Układy

zasilania

Rysunek 4.2.: Schemat blokowy opracowanego systemu ultrasonografu z transmisja kodowa-na

dział 3) i podjeto prace majace na celu ustalenie mozliwosci softwarowej imple-mentacji przetwarzania cyfrowego na komputerze PC. W tym celu zaimplemento-wano w jezyku C modelowy algorytm kompresji kodów przy pomocy bibliotek IntelIPP [63]. Biblioteki Intel IPP wykorzystuja rozszerzenia multimedialne procesorówx86 zapewniajac maksymalna mozliwa do uzyskania wydajnosc funkcji numerycz-nych dla obliczen na wektorach. Procedure filtracji dopasowanej bedaca podstawakompresji kodów zrealizowano na dwa sposoby: jako korelacje w dziedzinie cza-su oraz korelacje w dziedzinie czestotliwosci przez mnozenie transformat Fourie-ra (szczegóły implementacji modelowej i docelowej sa przedstawione w 4.7.). Testyzaimplementowanych algorytmów kompresji ech przeprowadzono na komputerzePC z procesorem Pentium 4 HT z zegarem 3,06 GHz i 1 MB pamieci podrecznej, szy-na procesora o czestotliwosci 800 MHz i 1 GB pamieci operacyjnej DDR-SDRAM

http://rcin.org.pl

Page 104: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

104 4. Projekt systemu

PC3200. Dane wejsciowe były ładowane do pamieci z plików ze spróbkowanymiw trybie off-line echami. Testy przeprowadzono w nastepujacych warunkach:

• kompresja kodów Golaya (podwójna filtracja dopasowana),

• testy bezposrednio w pamieci — tj. bez uwzglednienia czasu ładowania da-nych z plików,

• mierzono sredni czas kompresji jednego pełnego obrazu składajacego siez 256 linii po 2048 próbek kazda, dla długosci kodów 25, 50, 100, 200 i 300próbek,

• w przypadku metody działajacej w dziedzinie czestotliwosci spektra kodówbyły wstepnie obliczone (tj. nie były obliczane dla kazdej linii).

0.0

20.0

40.0

60.0

80.0

100.0

120.0

140.0

25 50 100 200 300

Cza

s ko

mp

resj

i o

bra

zu [

ms]

Liczba próbek kodu

w dziedzinie czasuw dziedzinie czêstotliwoœci

Rysunek 4.3.: Porównanie szybkosci realizacji kompresji kodów (filtracji dopasowanej)w dziedzinie czasu i czestotliwosci

Wyniki pomiarów przedstawiono na wykresie (rys. 4.3). Sredni czas kompresjipojedynczego obrazu dla metody w dziedzinie czestotliwosci wyniósł ok. 15 ms, dlametody w dziedzinie czasu zawierał sie w zakresie od 15 ms dla kodu o długosci25 próbek do 125 ms dla kodu o długosci 300 próbek. Jak widac z wykresu jedyniedla bardzo krótkich kodów (długosci mniejszej od 25 próbek) obliczenia w dziedzi-nie czasu staja sie szybsze od metody w dziedzinie czestotliwosci. Dla praktycznieuzytecznych kodów o długosciach powyzej 100 próbek metoda w dziedzinie cze-stotliwosci ma znaczaca przewage. Uzyskana wydajnosc algorytmu kompresji echokazała sie wiecej niz wystarczajaca do realizacji obrazowania w czasie rzeczywi-

http://rcin.org.pl

Page 105: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.1. Architektura systemu 105

stym. Zmierzone czasy przetwarzania pozostawiaja szeroki margines na dodatko-we operacje zwiazane z transmisja danych oraz procesem wyswietlania obrazu dlazałozonej minimalnej predkosci wyswietlania obrazu 5 ramek/s.

Rozwiazanie zagadnienia realizacji kompresji kodów w czasie rzeczywistym nakomputerze PC pozwoliło na uproszczenie modułu nadawania i akwizycji. Ponie-waz softwarowa wydajnosc obliczen okazała sie wystarczajaca, nie sa koniecznedodatkowe układy (procesory) dedykowane do przetwarzania sygnałów w module.W ten sposób zadania modułu ograniczyły sie do generacji sygnałów nadawczychoraz akwizycji ech i transmisji danych do PC. Moduł został oparty na programowal-nym układzie logicznym FPGA, który zapewnia niezbedne zasoby do realizacji tychfunkcji. Duza czestotliwosc pracy układów przetworników A/C i C/A wymaga sprze-towego układu kontrolera i układ FPGA jest w tym wypadku jedynym rozwiazaniem.Pod uwage była brana mozliwosc zaadoptowania/rozbudowy gotowych modułówewaluacyjnych z układami FPGA dostepnych na rynku. Po analizie zdecydowanosie jednak na budowe w całosci własnej elektroniki, jako rozwiazania bardziej opty-malnego i przyszłosciowego.

Do generacji nadawczych sygnałów kodowanych rozwazano dwa rozwiazania:układ cyfrowej syntezy czestotliwosci DDS (ang. Direct Digital Synthesis) [7] orazgenerator przebiegów arbitralnych. Na rynku sa dostepne gotowe układy DDSumozliwiajace generacje sygnałów sinusoidalnych oraz modulowanych fazowoi czestotliwosciowo (np. AD9854 [9]). Niestety dostepne (w momencie rozpoczyna-nia projektu) układy DDS nie umozliwiały modulacji amplitudy sygnału. Oczywi-scie taka modulacja moze byc zrealizowana zewnetrznie, ale wymaga to dodatko-wego przetwornika C/A oraz kolejnego układu generatora obwiedni sygnału, co ni-weluje korzysci zastosowania jednego układu DDS. Zaleta generatora arbitralnegojest pełna dowolnosc, co do kształtu sygnału nadawczego. Poniewaz zasoby pamie-ciowe zastosowanego układu FPGA pozwalały na realizacje generatora arbitralnego,zdecydowano sie na to rozwiazanie. Dodatkowo w celu zapewnienia pełnej kontrolinad wzmocnieniem sygnału odbiorczego zastosowano drugi układ generatora arbi-tralnego do sterowania zasiegowa regulacja wzmocnienia.

Kolejnym zagadnieniem był wybór metody próbkowania sygnału ech w.cz. orazsamego układu przetwornika A/C. Istnieje wiele metod próbkowania sygnałów pa-smowych — m.in. próbkowanie szerokopasmowe, waskopasmowe, kwadraturowe,Hilberta [21]. W systemach ultradzwiekowych mamy co prawda do czynienia z do-brze okreslonym pasmem sygnału skupionym wokół czestotliwosci podstawoweji wynikajacym bezposrednio z pasma głowicy, jednak pasma te moga byc bardzoszerokie (przekraczac 100%), co ogranicza zastosowanie próbkowania waskopa-smowego. Próbkowanie kwadraturowe jest interesujace poniewaz za jego pomo-ca uzyskuje sie od razu sygnał analityczny. Niestety do uzyskania kwadratury po-

http://rcin.org.pl

Page 106: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

106 4. Projekt systemu

trzebne sa metody analogowe (mieszacze) albo triki próbkowania z poczwórna cze-stotliwoscia (co pozwala na uzyskanie przesuniecia o π/2), które sa rozwiazania-mi dalekimi od ideału. Jeszcze innym rozwiazaniem sa metody pod-próbkowania(ang. undersampling), które pozwalaja na stosowanie nizszej czestotliwosci prób-kowania i automatyczne przesuwanie pasma. One z kolei wymagaja scisle ustalo-nych czestotliwosci próbkowania zwiazanych z czestotliwoscia srodkowa, co wy-maga stosowania specjalnych układów generacji zegara. Po analizie dostepnych narynku układów przetworników A/C i nie chcac ograniczac mozliwosci zastosowanmodułu zdecydowano sie na próbkowanie szerokopasmowe. Próbkowanie szero-kopasmowe stawia wysokie wymagania na predkosc próbkowania, która w prak-tycznych zastosowaniach ultradzwiekowych powinna byc 3–5 razy wyzsza od cze-stotliwosci srodkowej głowicy, ale jednoczesnie pozwala na digitalizacje sygnałóww całym pasmie bez zadnych ograniczen. Wyselekcjonowano rodzine przetworni-ków A/C MAX1213/4/5 firmy Maxim, która zapewnia 12-bitowa rozdzielczosc orazczestotliwosc próbkowania w zakresie 170–250 MHz.

Innym newralgicznym elementem systemu był interfejs komunikacyjny pomie-dzy modułem, a komputerem PC. Zapewnienie wizualizacji w czasie rzeczywistymwymaga przesyłania w sposób płynny duzej ilosci danych cyfrowych (sygnałóww.cz.). Do komunikacji wykorzystano interfejs USB 2.0 pracujacy w trybie High-Speed, który był juz przez autora wykorzystywany w innym projekcie i którego prze-pustowosc okazała sie wystarczajaca.

Analogowe układy nadawczo-odbiorcze oraz sam moduł kodera-digitizera zo-stały rozdzielone w celu zapewnienia wiekszych mozliwosci adaptacji elementówsystemu. Układy analogowe opracowano na podstawie wczesniejszych rozwiazanstosowanych w poprzednim modelu mikrosonografu.

Ponizej, w kolejnych podrozdziałach, opisano dokładnie elementy składowe sys-temu.

4.2. Modu l kodera-digitizera

Moduł kodera-digitizera realizuje nastepujace funkcje:

• koder — generacja nadawczych sygnałów kodowanych,

• digitizer — układ przetwarzania A/C do akwizycji sygnałów ech,

• sterowanie zasiegowa regulacja wzmocnienia (ZRW) odbiornika,

• sterowanie wzmocnieniem odbiornika oraz ruchem głowicy,

• synchronizacja procesu nadawania i odbioru linii obrazowych z sygnałamipołozenia przetwornika z głowicy ultradzwiekowej,

http://rcin.org.pl

Page 107: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.2. Moduł kodera-digitizera 107

• transmisja danych do PC.

Na rys. 4.4 przedstawiono schemat blokowy opracowanego modułu (schematideowy modułu znajduje sie w dodatku 6.4.2.2.). Moduł został zrealizowany na 4-warstwowej płytce drukowanej o rozmiarach 90mm × 90mm (rys. 4.5).

Układ FPGAA/C

Układ interfejsu

USB 2.0

C/A 1

Wzmacniacz

wejściowy

Wzmacniacz

mocy

PC

USB

C/A 2ZRW

Głowica

Moduł kodera-digitizera

Rysunek 4.4.: Schemat blokowy modułu kodera-digitizera

4.2.1. Przetwornik analogowo-cyfrowy

Digitalizacja sygnałów ech w.cz. w naszym zastosowaniu wymagała uzycia prze-twornika A/C o duzej dynamice (co najmniej 10-bitów) i szybkosci przetwarza-nia (co najmniej 150 MSPS). Wybrano układ z rodziny MAX1213/4/5 firmy Maxim,o rozdzielczosci 12-bitów i predkosci przetwarzania od 170 MSPS (dla MAX1213) do250 MSPS (dla MAX1215) [74]. Przetworniki te sa zrealizowane w architekturze poto-kowej (rys. 4.6), posiadaja wewnetrzne zródło napiecia odniesienia, układ sledzaco-próbkujacy oraz układ stabilizacji zegara.

Układy MAX1213/4/5 wymagaja pojedynczego zasilania o napieciu 1,8 V, a ichcałkowita moc wydzielana wynosi 1 W dla maksymalnej czestotliwosci pracy. Sto-pien wejsciowy przetwornika A/C jest przystosowany do pracy w systemie 50Ω, któ-ry jest stosowany w zakresie czestotliwosci radiowych. W module kodera-digitizerana wejsciu przetwornika zastosowano układ zalecany w nocie aplikacyjnej [74]przedstawiony na rys. 4.7. Zastosowanie podwójnego transformatora w.cz. przyczy-nia sie do zmniejszenia zniekształcen nieliniowych zwiazanych z nieidealna cha-rakterystyka transformatorów.

http://rcin.org.pl

Page 108: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

108 4. Projekt systemu

Rysunek 4.5.: Widok zmontowanej płytki modułu kodera-digitizera

MAX1215

CLOCK-DIVIDER

CONTROL

CLKDIV

CLOCKMANAGEMENT

INPUTBUFFER

DCLKP

D0P/N–D11P/N

DCLKN

12

ORP

ORN

2.2k? 2.2k?

CLKP

CLKN

INP

INN

COMMON-MODEBUFFER

REFIO REFADJ

LVDSDATA PORT

REFERENCE

T/H12-BIT PIPELINE

QUANTIZERCORE

Rysunek 4.6.: Schemat blokowy układu przetwornika analogowo-cyfrowego MAX1215 [74]

Przetworniki MAX1213/4/5 sa wyposazone w równoległy interfejs cyfrowyw standardzie LVDS (ang. Low Voltage Differential Signaling). Zaleta interfejsu róz-nicowego LVDS sa małe szumy elektryczne dzieki stosowaniu mniejszych wartoscinapiecia poziomów cyfrowych (ok. 400 mV), wada podwójna liczba sygnałów w sto-sunku do zwykłych (nieróznicowych) interfejsów [86]. Na rys. 4.8 pokazano zalezno-sci czasowe próbkowania przetwornika A/C. Opóznienie (latencja) wyjscia cyfrowe-go w stosunku do momentu próbkowania analogowej wartosci napiecia na wejsciuwynosi 11 okresów zegara, co jest zwiazane z architektura potokowa przetwornika.

http://rcin.org.pl

Page 109: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.2. Moduł kodera-digitizera 109

AGND OGND

D0-D11

MAX1215

25 ?

0.1µF

ADT1-1WT ADT1-1WT

25 ?

INP

INN

10 ?

10 ?

AVCC OVCC

WEJŒCIE SYGNA£U

0.1µF

Rysunek 4.7.: Zalecany układ wejsciowy przetwornika MAX1215 [74]

Poniewaz opóznienie to jest stałe moze zostac uwzglednione i skorygowane w pro-cesie synchronizacji nadawania-akwizycji.

PRÓBKOWANIE

INN

INP

CLKN

CLKP

DCLKP

DCLKN

D0–D11

PRÓBKOWANIE PRÓBKOWANIE

N + 1 N + 8 N + 9

N - 8

N - 8 N - 7 N - 1 N + 1N

N - 7 N N + 1

PRÓBKOWANIE

tPDL

N

tCLtADtCH

tLATENCY

tCPDL

Rysunek 4.8.: Zaleznosci czasowe próbkowania dla przetwornika MAX1215 [74]

4.2.2. Przetworniki cyfrowo-analogowe

W module zastosowano dwa identyczne przetworniki C/A typu AD9744 firmyAnalog Devices o rozdzielczosci 14-bitów i predkosci przetwarzania 210 MSPS [8].Przetworniki pracuja z zasilaniem 3,3 V i pobieraja do 135 mW mocy. Podobnie jakw przypadku przetworników A/C, wyjscie układów AD9744 jest przystosowane doobciazenia 50Ω sprzegnietego przez transformator w.cz. Wejsciowy interfejs cyfro-

http://rcin.org.pl

Page 110: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

110 4. Projekt systemu

wy pracuje w standardzie LVCMOS33 (ang. Low Voltage CMOS).

Pierwszy z przetworników słuzy do generacji arbitralnych sygnałów kodowa-nych, drugi do sterowania ZRW. Przetwornik C/A do sterowania ZRW pracuje zeznacznie mniejsza predkoscia, jednak zastosowanie jednego modelu przetwornikado obu celów słuzyło uproszczeniu konstrukcji.

4.2.3. Uk lad FPGA

Obecnie na rynku dostepna jest ogromna róznorodnosc układów FPGA zopty-malizowanych do róznych zastosowan. Ograniczajac sie do jednego producenta fir-my Xilinx mozna wyróznic układy ekonomiczne (rodzina Spartan) oraz układy dowymagajacych zastosowan (rodzina Virtex). Chcac ograniczyc koszty elementówmodułu oraz jego produkcji zdecydowano sie na układy Spartan-3 w klasycznychobudowach z nózkami PQFP. Zastosowanie układów o mniejszych zasobach (a tymsamym mniejszym poborze pradu) i wyprowadzeniach z nózkami (zamiast obudówBGA) pozwoliło na ograniczenie liczby warstw płytki drukowanej do czterech, coznacznie obnizyło koszty produkcji i montazu modułu. Zastosowany model układuXilinx XC3S400TQ144 [138] dysponuje nastepujacymi zasobami:

• 96 cyfrowych sygnałów wej./wyj.,

• 400 k równowaznych bramek logicznych,

• 288 kbit pamieci blokowej RAM,

• 56 kbit pamieci rozproszonej RAM,

• 4 układy zarzadzania zegarem DCM (ang. Digital Clock Management),

• 16 dedykowanych układów mnozacych.

Układy Spartan-3 wymagaja trzech niezaleznych napiec zasilania: 1,2 V dla ja-dra, 2,5 V dla układów pomocniczych oraz 3,3 V dla portów wej./wyj. w standardzieLVCMOS33. Całkowity pobór pradu układu zalezy bardzo silnie od czestotliwoscipracy i wykorzystania zasobów układu. Oszacowanie pobieranej mocy mozna uzy-skac za pomoca arkusza kalkulacyjnego Xilinx Power Estimator [140], dostarcza-nego przez Xilinx, po wprowadzeniu danych dotyczacych wykorzystania zasobówi czestotliwosci pracy. Dokładniejsze okreslenie zapotrzebowania na moc uzyskujesie w oprogramowaniu do projektowania układów — Xilinx ISE, po zaimplemento-waniu projektu.

4.2.3.1. Projekt wewn etrzny uk ladu FPGA

Układ FPGA jest centralnym elementem modułu kodera-digitizera — do niegopodłaczone sa pozostałe elementy: układy przetworników A/C i C/A oraz układ in-

http://rcin.org.pl

Page 111: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.2. Moduł kodera-digitizera 111

terfejsu USB. Projekt wewnetrzny FPGA moze byc łatwo modyfikowany do konkret-nych potrzeb i ładowany z komputera PC przez interfejs USB w trakcie pracy urza-dzenia.

Obecnie zaimplementowany projekt FPGA (funkcja ultrasonografu z transmisjakodowana) realizuje nastepujace zadania:

1. Generowanie arbitralnych sygnałów kodowanych — układ FPGA generujeprzebiegi z wewnetrznej pamieci blokowej RAM, której zawartosc jest z ko-lei programowalna z komputera PC. Układ moze generowac jeden lub dwarózne kody o długosci do 1024 próbek, co zapewnia wsparcie dla komple-mentarnych kodów Golaya.

2. Digitalizacja ech — dane jednej linii sygnału w.cz. ech z przetwornika A/Csa składowane w wewnetrznej pamieci FIFO, a nastepnie transferowanedo komputera PC przez kontroler interfejsu USB. Bufor FIFO ma rozmiar8192 próbek, co pozwala na zapamietanie jednej linii o takiej długosci lubdwóch kolejnych linii o długosci 4096 próbek przy podwójnym nadawaniudla kodów Golaya.

3. Synchronizacja nadawania i odbioru z ruchem głowicy — proces akwizycjiobrazu wymaga synchronizacji procesu nadawania i odbioru kazdej linii sy-gnału w.cz. z sygnałem połozenia głowicy. Głowica wyposazona jest w enko-der optyczny, który generuje impulsy linii oraz sygnał zera głowicy (pozycjakrancowa). Sygnał linii z enkodera głowicy wyzwala procedure nadania ko-du, odliczenia opóznienia, a nastepnie akwizycji echa z głowicy.

4. Transfer danych do komputera PC — cyfrowy sygnał w.cz. z wewnetrznegobufora FIFO jednej linii obrazowej jest przesyłany do układu kontrolera inter-fejsu USB, a nastepnie dalej przez interfejs USB do komputera. Układ FPGAmonitoruje stan komunikacji USB i przesyła kolejne porcje danych gdy tylkokontroler interfejsu USB zasygnalizuje wysłanie poprzedniej porcji danych.

5. Konfiguracja parametrów pracy — proces nadawania i akwizycji moze byckonfigurowany w układzie FPGA z komputera. Do konfigurowalnych para-metrów naleza: typ nadawania (pojedyncze, podwójne), kształt nadawczegosygnału kodowanego, opóznienie okna akwizycji w stosunku do nadawania,liczba próbek linii obrazowej, liczba linii obrazowych, kształt krzywej ZRW,wzmocnienie odbiornika i sterowanie praca głowicy.

Metodologie projektowania układów FPGA przedstawiono w rozdziale 3.1.1..Projekt został stworzony w jezyku VHDL przy pomocy narzedzi Xilinx ISE. W pro-jekcie wykorzystano generator bloków IP (CoreGen) do wygenerowania standardo-wych układów pamieci oraz pamieci FIFO (ang. First In First Out) w oparciu o za-

http://rcin.org.pl

Page 112: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

112 4. Projekt systemu

soby pamieci blokowej RAM. Schemat bloków VHDL projektu FPGA przedstawionona rys. 4.9.

A/C

Mo

du

ł rej

estr

ów

ko

nfi

gu

racy

jnyc

h

FPGA

Mo

du

ł zar

ząd

zan

ia z

egar

em

Moduł generatora

przebiegów nadawczychBlok pamięci

Moduł generatora

sygnału ZRWBlok pamięci

Moduł akwizycji sygnałów

odbiorczychPamięć FIFO

C/A 1

C/A 2

Moduł synchronizacji i transmisji USBUSB

Głowica

USB

Rysunek 4.9.: Schemat modułów VHDL projektu układu FPGA

ImplementacjaOprogramowanie Xilinx ISE przeprowadza automatyczna synteze, mapowanie

oraz umieszczanie i łaczenie bloków logicznych i zasobów na podstawie dostarczo-nego projektu VHDL oraz pliku UCF (ang. User Constraints File — plik wiezów uzyt-kownika). Plik wiezów okresla wymagane parametry czasowe projektu (np. czasypropagacji sygnału, czestotliwosc pracy) oraz konfiguracje sygnałów wej./wyj. (np.przypisanie sygnałów do okreslonych wyprowadzen). Oprogramowanie wykorzy-stuje algorytmy heurystyczne do implementacji projektu w taki sposób, aby speł-nic narzucone wiezy. Oczywiscie spełnienie wszystkich ograniczen moze byc nie-mozliwe, co jest sygnalizowane. Uzytkownik moze takze pomóc oprogramowaniuprzez manualne umieszczenie konkretnych bloków projektu w okreslonych miej-scach układu FPGA. Dzieki temu implementacja moze trwac krócej (gdyz pewneelementy sa juz z góry umieszczone) oraz moze zakonczyc sie sukcesem spełnie-nia narzuconych ograniczen. Osiagniecie sukcesu w implementacji układów FPGA,

http://rcin.org.pl

Page 113: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.2. Moduł kodera-digitizera 113

czyli tzw. domkniecia czasowego (ang. Timing Closure) jest trudne i wymaga duze-go doswiadczenia.

W realizowanym projekcie jednym z najwazniejszych narzuconych ograni-czen była czestotliwosc zegara próbkowania równa 200 MHz. Nalezy zaznaczyc,ze ta czestotliwosc jest bardzo bliska maksymalnej czestotliwosci pracy układówSpartan-3, co powoduje, ze uzyskanie domkniecia czasowego było szczególnie trud-ne. Po przeprowadzeniu wielu implementacji z róznymi ustawieniami parametrówoptymalizacji oprogramowania oraz ułozeniem bloków pamieci udało sie uzyskaczałozona predkosc pracy.

Wykorzystanie zasobów zastosowanego układu FPGA przez projekt jest nierów-nomierne (tabela 4.1). Widac, ze pewne zasoby sa wykorzystane w duzym procen-cie (zasoby wej./wyj., bloki pamieci), natomiast inne (bloki logiczne) w bardzo ma-łym. Układy FPGA sa dostepne w okreslonych konfiguracjach zasobów, które niezawsze pasuja do konkretnego zastosowania. Zaimplementowany projekt wykorzy-stuje bloki pamieci jako bufory dla sygnałów nadawczych, odbiorczych oraz krzywejZRW. Funkcje logiczne realizujace synchronizacje procesów sa stosunkowo prostedzieki czemu moga pracowac z maksymalna czestotliwoscia.

Tabela 4.1.: Wykorzystanie zasobów FPGA w projekcie kodera-digitizera

Zasób Dostepnych Wykorzystanych Udział

Liczba bloków wej./wyj. 93 97 95%Liczba bloków RAM 11 16 68%Liczba sygnałów GCLK 3 8 37%Liczba bloków DCM 1 4 25%Liczba bloków logicznych 325 3584 9%

4.2.4. Uk lad zegara

Całosc modułu, w szczególnosci układ FPGA oraz układy przetworników A/Ci C/A sa synchronizowane za pomoca wspólnego zegara. Jakosc sygnału zegara maogromne znaczenie dla przetwarzania A/C sygnałów w.cz., co opisano w podroz-dziale 3.2.3.3..

Od samego poczatku odrzucono pomysł dystrybucji zegara do przetwornikaprzez układ FPGA. Sygnały wyjsciowe układów FPGA charakteryzuja sie jitteremna poziomie 33–50 ps i nie nadaja sie do dystrybucji zegara [22, 118]. Układy XilinxSpartan-3 zawieraja takze wewnetrzne bloki generacji zegara oparte na petlach DLL(ang. Delay Locked Loops), ale ich parametry jittera sa jeszcze gorsze 200 ps [137].Chcac miec mozliwosc regulacji czestotliwosci próbkowania w szerokim zakresie

http://rcin.org.pl

Page 114: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

114 4. Projekt systemu

w pierwszym prototypie modułu zastosowano uniwersalny programowalny układgeneratora zegara Cypress CY22393 [36]. Niestety jego parametry, a zwłaszcza jit-ter okazały sie znacznie gorsze od oczekiwanych, co eksperymentalnie stwierdzo-no przy pomiarach jakosci przetwarzania analogowo-cyfrowego 5.1.. Dlatego w ko-lejnej wersji zastapiono go układem generatora o małym jitterze Epson EG-2121z wyjsciem typu LVDS podłaczonym bezposrednio do przetwornika A/C. Obecniena rynku pojawiły sie nowe układy generacji i kondycjonowania sygnału zegara [?],które zapewniaja odpowiednia jakosc do synchronizacji precyzyjnych przetworni-ków A/C dla sygnałów w.cz. Obecnie poddaje badaniom jeden z takich układów —AD9517 [?], który zamierzam zastosowac w kolejnej wersji modułu.

4.2.5. Interfejs USB

Przetwarzanie sygnału w.cz. w komputerze PC w czasie rzeczywistym wymagadostarczania w takim samym rezimie czasowym danych do przetwarzania. W zalez-nosci od predkosci głowicy i długosci linii sygnału w.cz. wymagana przepustowosczawiera sie w przedziale 10–30 MB/s. Interfejs USB jest obecnie bardzo popularnyi w trybie High-Speed zapewnia wymagana przepustowosc. Ponadto, interfejs USBsprawdził sie we wczesniejszym projekcie — transmisja obrazów z ultrasonografudo PC.

Specyfikacja interfejsu i protokołu komunikacyjnego USB jest bardzo rozbudo-wana i złozona [13, 55]. W module zastosowano układ kontrolera interfejsu USB ty-pu CY7C68013A firmy Cypress [37], który znany jest takze pod nazwa kodowa FX2.O wyborze tego układu zdecydowały wczesniejsze doswiadczenia oraz dostepnoscsterownika USB dla systemu Microsoft Windows, który jest dostarczany przez pro-ducenta nieodpłatnie.

4.2.5.1. Budowa i cechy kontrolera FX2

Kontroler FX2 jest zgodny z wersja 2.0 specyfikacji USB i wspiera wszystkie try-by pracy oraz metody transmisji USB — blokowa (ang. bulk), przerwaniowa (ang.interrupt) i izochroniczna (ang. isochronous). Układ oprócz kontrolera interfejsu(rys. 4.10) zawiera takze wbudowany mikrokontroler zgodny ze standardem prze-mysłowym 8051, 16 kB pamieci RAM oraz dodatkowe peryferia ogólnego przezna-czenia (interfejs I2C, asynchroniczny port szeregowy, porty wej./wyj.) i specjalizo-wany interfejs GPIF/FIFO zapewniajacy bezposredni dostep do kanału komunikacjiUSB.

Wbudowany mikrokontroler 8051 wykonuje program w pamieci RAM, która mo-ze byc załadowana z pamieci nieulotnej EEPROM podłaczonej do interfejsu I2C

http://rcin.org.pl

Page 115: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.2. Moduł kodera-digitizera 115

lub bezposrednio przez USB. Ta druga mozliwosc jest szczególnie interesujaca gdyzpozwala na przygotowanie oprogramowania kontrolera w postaci pliku na dyskukomputera, który jest automatycznie (po podłaczeniu modułu z FX2) ładowany doukładu. Dzieki temu urzadzenie USB moze byc ładowane róznym wersjami firm-ware (oprogramowaniem wbudowanym) i realizowac rózne funkcje, bez koniecz-nosci fizycznego przeprogramowania urzadzenia. Mikrokontroler realizuje funkcjeobsługi protokołu USB (enumeracja, wymiana komunikatów kontrolnych, itp.) orazmoze za pomoca portów wej./wyj. sterowac praca innych układów. W naszym roz-wiazaniu mikrokontroler odpowiada takze za uruchamianie i programowanie ukła-du FPGA danymi z komputera PC, a nastepnie za kontrole parametrów jego pracy(parametry nadawania i akwizycji). Takie rozwiazanie pozwala na zmiane funkcjiukładu FPGA (pełne przeprogramowanie!) w trakcie pracy modułu, bez konieczno-sci resetowania go czy odłaczania od komputera. Pełne przeprogramowanie FPGAtrwa ułamek sekundy.

Ad

dre

ss (

16

)

x20

PLL

/0.5

/1.0

/2.0

8051 Core

12/24/48 MHz,

four clocks/cycle

2I C

VCC

1.5k

D+

D–

Ad

dre

ss

(16

) /

Data

Bu

s (8

)

FX2LP

GPIFCY

SmartUSB

1.1/2.0Engine

USB2.0

XCVR

16 KBRAM

4 kBFIFO

Additional I/Os (24)

ADDR (9)

CTL (6)RDY (6)

8/16

Da

ta (

8)

24 MHzExt. XTAL

ECC

Rysunek 4.10.: Schemat blokowy układu kontrolera interfejsu USB — CypressCY7C68013A [37]

4.2.5.2. Oprogramowanie kontrolera interfejsu USB

Producent kontrolera FX2 dostarcza do niego sterownik [35] dla systemu Win-dows, biblioteke aplikacyjna [34] oraz przykłady programowania wbudowanego

http://rcin.org.pl

Page 116: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

116 4. Projekt systemu

mikrokontrolera. Autor korzystajac z tych narzedzi zaimplementował oprogramo-wanie sterujace mikrokontrolera oraz biblioteki wyzszego poziomu dla systemuWindows zapewniajace komunikacje przez USB. Zrealizowane oprogramowanie za-pewnia uniwersalne funkcje komunikacyjne:

• programowanie układu FPGA,

• przesyłanie krótkich komunikatów sterujaco-kontrolnych,

• ustawianie rejestrów układu FPGA,

• wydajna transmisje strumieniowa (ciagła) pomiedzy układem FPGA a kom-puterem PC.

4.2.6. Zasilanie modu lu

Moduł kodera-digitizera wymaga pojedynczego napiecia zasilania (5 V), któremoze byc dostarczone z komputera PC przez port USB lub z zasilacza zewnetrz-nego. Całkowity pobór pradu modułu w stanie spoczynku nie przekracza 250 mA,a w trakcie pracy 350 mA. Wszystkie napiecia potrzebne do zasilania układów mo-dułu sa wytwarzane na płytce modułu tj.:

• 3,3 V — dla FPGA, przetworników C/A, kontrolera USB,

• 2,5 V — dla FPGA,

• 1,8 V — dla przetwornika A/C,

• 1,2 V — dla FPGA.

Do wytworzenia napiec zasilania wykorzystano układy liniowych stabilizatorówo małym spadku napiecia: National LM1086-3.3 [87] dla napiecia 3,3 V i LM1117-2.5 [88] dla 2,5 V oraz stabilizator impulsowy Microchip MCP1612 [78] dla napiec1,8 V i 1,2 V.

4.3. Analogowe uk lady wej./wyj.

Schematy bloków analogowych wzmacniacza wejsciowego oraz wyjsciowegowzmacniacza mocy zamieszczono w dodatku 6.4.2.2..

4.3.1. Wzmacniacz mocy

Wzmacniacz mocy pracuje w pasmie do 35 MHz i dysponuje na wyjsciu nomi-nalnym napieciem miedzyszczytowym do 80 V.

http://rcin.org.pl

Page 117: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.4. Układy zasilania 117

4.3.2. Wzmacniacz odbiorczy

Układ odbiorczy składa sie z przedwzmacniacza umieszczonego w obudowiegłowicy o wzmocnieniu ok. 10 dB oraz wzmacniacza odbiorczego o wzmocnieniu65 dB i pasmie 18–45 MHz.

4.4. Uk lady zasilania

Zasilanie całego systemu mikrosonografu (modułu, układów analogowych, gło-wicy) wymagało zastosowania dedykowanego zasilacza. Czułe wejsciowe ukła-dy analogowe potrzebuja zasilania symetrycznego o małym poziomie szumów,natomiast analogowy wzmacniacz mocy symetrycznego wysokiego napiecia 40 V(rys. 4.11).

Przetwornica

DC/DC

+5V

230V

AC

Stabilizator

-15V

Stabilizator

+40V

Stabilizator

-40V

Stabilizator

+15V

Zasilanie nadajnika

Przetwornica

DC/DC

±15V

Zasilanie odbiornika

Zasilanie cyfrowe

+50V

-50V

+30V

Rysunek 4.11.: Schemat blokowy układów zasilania mikrosonografu

4.5. Panel regulacyjny

Na podstawie naszych wczesniejszych doswiadczen i opinii uzytkowników wie-my, ze regulacja podstawowych parametrów urzadzenia jest znacznie wygodniej-sza za pomoca klasycznych pokreteł. Dlatego został opracowany panel regulacyj-ny (rys. 4.12), który jest opcjonalnym wyposazeniem systemu mikroultrasonogra-

http://rcin.org.pl

Page 118: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

118 4. Projekt systemu

fu. Oprogramowanie kontrolno-sterujace pozwala na regulacje wszystkich parame-trów w graficznym interfejsie uzytkownika za pomoca myszki i klawiatury, co po-zwala na prace bez podłaczonego panelu.

Rysunek 4.12.: Widok panelu regulacyjnego USB

Panel posiada 5 niezaleznych pokreteł, które standardowo sa przypisane do re-gulacji nastepujacych parametrów:

• głebokosci obrazowania,

• wzmocnienia odbiornika,

• głebokosci zadziałania ZRW,

• poziomu ZRW,

• cyfrowej kompresji jasnosci.

4.5.1. Budowa panelu

Panel został zbudowany na bazie uniwersalnego modułu z mikrokontroleremjednoukładowym Atmel z rodziny SAM7S [12]. Mikrokontroler SAM7S zawiera 32-bitowe jadro ARM7TDMI [10], pamiec RAM (do 64 kB), pamiec flash (do 512 kB)oraz zestaw peryferiów (m.in. interfejs USB, interfejs SPI, interfejs I2C, portywej./wyj.). Schemat blokowy panelu przedstawiono na rys. 4.13.

http://rcin.org.pl

Page 119: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.6. Głowica ultradzwiekowa 119

Mikrokontroler

ARM

z interfejsem USB

USB PC

2

31

4

5Pokrętła – enkodery

mechaniczne

Rysunek 4.13.: Schemat blokowy panelu regulacyjnego mikrosonografu

Pokretła, bedace enkoderami mechanicznymi o 20 impulsach na obrót, zostałypodłaczone bezposrednio do portów cyfrowych mikrokontrolera. Oprogramowaniewbudowane (zapisane w pamieci flash) realizuje funkcje odczytu połozenia enko-derów oraz komunikacji USB z komputerem PC. Zastosowane enkodery generujainformacje o obrocie wzglednym i kierunku obrotu. Aplikacja odczytuje informacjeo wzglednej zmianie połozenia pokreteł w stosunku od ostatniego odczytu. Zasto-sowanie dodatkowego znacznika czasowego pozwala na realizacje funkcji akcelera-cji — polegajacej na duzej zmianie nastawy przez niewielki, ale szybki obrót pokre-tła. Panel jest widoczny w systemie Microsoft Windows jako wirtualny port szerego-wy. Zdefiniowany protokół komunikacji pozwala na współprace z aplikacja.

4.6. G lowica ultradzwi ekowa

System mikrosonografu współpracuje obecnie z mechaniczna głowica sektoro-wa zaadoptowana z aparatów Desmin produkcji Echo-Son S.A.. Głowica ta zostaławyposazona w nowy przetwornik wysokiej czestotliwosci oraz układ przedwzmac-niacza. Głowica mechaniczna jest napedzana wewnetrznym silnikiem pradu sta-łego ze zintegrowanym enkoderem optycznym, który generuje impulsy linii orazsygnał jednej z pozycji krancowych. Sygnały synchronizacji z głowicy sa podłaczo-ne do modułu kodera-digitizera (układu FPGA). Poniewaz synchronizacja nadawa-nia/odbioru została zrealizowana w układzie FPGA istnieje łatwosc jej modyfikacjinp. w celu podłaczenia innej głowicy. Obecnie jest opracowywana prototypowa gło-wica ze skanowaniem liniowym, która ma współpracowac z aparatem.

http://rcin.org.pl

Page 120: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

120 4. Projekt systemu

Predkosc akwizycji obrazów jest uzalezniona od predkosci ruchu głowicy i mo-ze byc w pewnym zakresie regulowana przez napiecie zasilania silnika głowicy. Zewzgledu na ograniczenia transferu danych obecnie predkosc jest ustawiona na ok.7 Hz.

4.6.1. Przetwornik ultradzwi ekowy

W głowicy zastosowano przetwornik ultradzwiekowy wykonany przez firme Fer-roperm nowa technologia grubych warstw (ang. thick-film) [66]. Technika grubychwarstw polega na nakładaniu warstw emulsji PZT na podkład/blok ceramiki. Kon-trolujac sposób nanoszenia warstw i/lub ich liczbe mozna wpływac na czestotli-wosc przetwornika. Zakład Ultradzwieków brał udział w europejskim projekcie MI-NUET, w którym realizował badania tych nowych przetworników. Zastosowanieprzetworników thick-film w mikrosonografie jest pierwsza praktyczna aplikacja tejtechnologii (rys. 4.14). Przetworniki z grubych warstw charakteryzuja sie bardzoszerokim pasmem, co jest szczególnie korzystne w zastosowaniach transmisji ko-dowanej.

Rysunek 4.14.: Widok przetwornika zamocowanego w głowicy

Na rys. 4.15 pokazano odpowiedz impulsowa jednego z prototypowych prze-tworników o srednicy 4 mm i czestotliwosci rezonansowej 20 MHz. Impuls

http://rcin.org.pl

Page 121: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.6. Głowica ultradzwiekowa 121

od reflektora metalowego w wodzie został zmierzony przy pomocy nadajni-ka/odbiornika JSR DPR300 oraz oscyloskopu cyfrowego Agilent 54641D. Przetwor-nik był podłaczony przez kabel koncentryczny o długosci 130 cm.

Uzywane do zastosowan obrazowych przetworniki były ogniskowane (8–14 mm)za pomoca krzywizny powierzchni przedniej. Czoło przetwornika miało napylonawarstwe dopasowujaca bedaca jednoczesnie zabezpieczeniem. Przetwornik pracu-je przez kapiel wodna i specjalnie dobrana cienka folie spozywcza — ze wzgledu nawysokie tłumienie nie mozna zastosowac konstrukcji z kapiela olejowa.

Rysunek 4.15.: Odpowiedz impulsowa przetwornika na 20 MHz

4.6.2. Geometria g lowicy

Jak juz wspomniano głowica generuje sygnały linii (połozenia przetwornika)przy pomocy enkodera zainstalowanego na silniku napedowym. Impulsy z enkode-ra sa generowane co stały kat obrotu silnika — 256 impulsów na obrót. Układ me-chaniczny przeniesienia napedu zamienia jeden obrót silnika na ruch wahadłowyprzetwornika (sektor) o kacie 27°. Transformacja ruchu nie jest liniowa, co powo-duje, ze gestosc zbieranych linii (wyzwalanych przez enkoder) jest bardzo nierów-nomierna w sektorze (rys. 4.16). Poniewaz gestosc linii jest najwieksza na krancachw systemie wybieranych jest 200 centralnych linii obrazowych (skrajne linie nie saodbierane). Konwersja geometrii z sektora na ekran (scan-converter) oraz uwzgled-nienie nierównomiernosci rozkładu przestrzennego linii jest realizowane w opro-gramowaniu.

http://rcin.org.pl

Page 122: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

122 4. Projekt systemu

0

0.02

0.04

0.06

0.08

0.1

0.12

0.14

0.16

0.18

0 32 64 96 128 160 192 224 256

t w

sto

pn

iach

Numer linii

Rysunek 4.16.: Kat pomiedzy kolejnymi liniami sektora dla danego numeru linii (sygna-łu z enkodera)

4.7. Oprogramowanie steruj ace i przetwarzaj ace

Oprogramowanie systemu mikrosonografu dla komputera PC zostało zaimple-mentowane w systemie operacyjnym Microsoft Windows XP. Oprogramowanieskłada sie z nastepujacych komponentów (rys. 4.17):

• Oprogramowanie USB (cyusb.sys i cyapi.dll) — dostarczane przez produ-centa układu kontrolera USB [35, 34]. Sterownik cyusb.sys stanowi najnizszawarstwe oprogramowania pracujaca na poziomie jadra systemu operacyjne-go. Biblioteka cyapi.dll dostarcza interfejs aplikacyjny w warstwie uzytkow-nika do sterownika cyusb.sys.

• Moduł Python (pyfx2.dll) — zapewnia dostep do komunikacji USB z modu-łem kodera-digitizera z poziomu jezyka skryptowego Python.

• Skrypty testowe Python — pozwalaja na szybkie i wygodne testowanie ko-munikacji i funkcji systemu bez koniecznosci kompilacji aplikacji.

• Biblioteka pomocnicza (fx2hlp.dll) — w jezyku C zawiera zestaw funkcjikomunikacji USB, obsługi strumieniowej transmisji danych z modułu orazcyfrowego przetwarzania sygnałów (kompresji kodów i detekcji obwiedni).Funkcje cyfrowego przetwarzania sygnałów korzystaja z biblioteki Intel IPP.

• Aplikacja uzytkowa (fx2code.exe) — główna aplikacja systemu mikro-sonografu zaimplementowana w jezyku C#. Realizuje funkcje sterujaco-

http://rcin.org.pl

Page 123: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.7. Oprogramowanie sterujace i przetwarzajace 123

kontrolne, przetwarzania i wizualizacji. Aplikacja zapewnia graficzny inter-fejs uzytkownika.

• Biblioteka Intel IPP — wydajna biblioteka numeryczna wykorzystujaca roz-szerzenia wektorowe procesorów z rodziny x86.

• Biblioteki DirectX — biblioteki systemowe do obsługi funkcji multimedial-nych, w tym biblioteka Direct3D do obsługi grafiki 3D.

• Platforma .NET — srodowisko uruchomieniowe CLR (Common LanguageRuntime) oraz obiektowe biblioteki dostarczajace standardowej funkcjonal-nosci dla aplikacji systemu Windows.

WARSTWA UŻYTKOWNIKA

WARSTWA JĄDRA SYSTEMU OPERACYJNEGO

Skrypty testowe

Python

Moduł Python

pyfx2.dll

Aplikacja użytkowa

fx2code.exe

Biblioteka pomocnicza

fx2hlp.dll

Biblioteka obsługi USB

cyapi.dll

Środowisko

.NET

Biblioteki

DirectXBiblioteka

Intel IPP

Sterownik USB

cyusb.sys

Stworzone

oprogramowanieLEGENDA:

Oprogramowanie

systemowe

Oprogramowanie

dodatkowe

Sterownik

grafiki

Rysunek 4.17.: Architektura oprogramowania PC

4.7.1. Architektura strumieniowa

Pierwszym załozeniem systemu było przetwarzanie i wizualizacja w czasie rze-czywistym. Zapewnienie tego warunku wymaga zapewnienia ciagłosci całego pro-cesu: od transmisji cyfrowych danych w.cz. przez przetwarzanie po wizualizacje.Tego typu technika przesyłania i przetwarzania jest okreslana mianem strumienio-wej. Nalezy zwrócic uwage, ze system operacyjny Windows nie jest systemem czasurzeczywistego i nie gwarantuje czasów realizacji okreslonych operacji (np. odpowie-

http://rcin.org.pl

Page 124: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

124 4. Projekt systemu

dzi na przerwanie). Dlatego oprogramowanie musi zapewniac pewna elastycznosci odpornosc na chwilowe wydłuzenia czasów realizacji niektórych operacji.

Jak juz powiedziano modelowy algorytm kompresji kodów został zweryfiko-wany pod wzgledem wydajnosci. Jednak całosc toru cyfrowej obróbki ultrasono-grafu wymaga dodatkowych operacji — m.in. detekcji obwiedni, scan-convertera.Autor zaproponował strumieniowa zbalansowana architekture przetwarzania wy-korzystujaca dostepne zasoby obliczeniowe komputera PC, czyli procesor główny(CPU) i procesor graficzny (GPU). Zadania obliczeniowe zostały podzielone pomie-dzy te dwa procesory z uwzglednieniem ich specyfiki 4.18. Algorytm kompresji ko-dów oraz detekcji obwiedni jest realizowany na procesorze CPU, natomiast scan-converter oraz dodatkowe funkcje post-processingu na GPU. Taka architektura po-zwala na realizacje znacznie bardziej wymagajacych systemów przetwarzania bezkoniecznosci stosowania specjalizowanych (i drogich) rozwiazan wieloprocesoro-wych.

W systemie mikrosonografu podział zadan obliczeniowych, daje duzy zapas mo-cy obliczeniowej, która moze byc wykorzystana do realizacji nowych funkcji (np.przetwarzania sygnału Dopplera) lub dodatkowych algorytmów przetwarzania (np.poprawa jakosci obrazu). Wymagania sprzetowe obecnej wersji oprogramowaniamikrosonografu spełnia niemal kazdy sredniej klasy komputer PC. W naszym obec-nym zestawie funkcje te spełnia komputer przenosny.

Moduł kodera-digitizera

Przetwarzanie CPU Przetwarzanie GPU

Przetwarzanie softwarowe sygnału w.cz.

Kompresja czasowa

Detekcja obwiedni Post-processing

Scan-converter

Wyświetlanie obrazu

Cyfrowy sygnał w.cz.

Rysunek 4.18.: Przetwarzanie softwarowe sygnału w.cz.

http://rcin.org.pl

Page 125: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.7. Oprogramowanie sterujace i przetwarzajace 125

4.7.2. Przetwarzanie cyfrowe CPU

Algorytm kompresji kodów i detekcji obwiedni został zaimplementowany w je-zyku C z pomoca zoptymalizowanych bibliotek Intel IPP [128]. Oba algorytmy dzia-łaja w dziedzinie czestotliwosci i wykorzystuja szybka transformate Fouriera (FFT)do przejscia pomiedzy dziedzinami czasu i czestotliwosci.

4.7.2.1. Modelowy algorytm przetwarzania

Zaimplementowano i przetestowano modelowy algorytm kompresji kodów(rys. 4.19) i detekcji obwiedni (rys. 4.20). Kompresja kodów jest realizowana jakokorelacja w dziedzinie czestotliwosci przez mnozenie widm sygnału wejsciowegoi sygnału wzorca (kodu). Zastosowany algorytm detekcji obwiedni polega na utwo-rzeniu sygnału analitycznego, a nastepnie obliczeniu modułu jego wartosci zespo-lonej [67].

FFT FFT-1X

+

Kod A

FFT FFT-1X

Kod B

Sygnał

skompresowany

w.cz.

Sygnał B

Sygnał A

Rysunek 4.19.: Algorytm kompresji kodów Golaya w dziedzinie czestotliwosci

FFT FFT-1

Sygnał po

detekcji

obwiedni

Modyfikacja widma:

0 dla f<0

2x dla f>0

ModułSygnał

w.cz.

Sygnał

analityczny

Rysunek 4.20.: Algorytm detekcji obwiedni

http://rcin.org.pl

Page 126: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

126 4. Projekt systemu

W trakcie testów funkcjonowania algorytmu kompresji kodów okazało sie, ze zewzgledu na efekty brzegowe konieczne jest podwojenie długosci sygnałów podda-wanych FFT. W przypadku korelacji liczonej w ograniczonym oknie czasowym po-czatkowe próbki sygnału korelacji powstaja przez przemnozenie i dodanie niewiel-kiego fragmentu sygnału i wzorca. Dla sygnału o długosci N oraz sygnału wzor-ca o długosci n i N < n poczatkowe i koncowe n próbek obliczonej korelacji sanieprawdziwe i powinny byc odrzucone. Poniewaz algorytm FFT wymaga sygna-łów o długosci bedacych potega dwójki, wejsciowa długosc sygnału w.cz. 2048 pró-bek musiała zostac podwojona do 4096 próbek. Poczatkowe i koncowe 1024 prób-ki podwojonej długosci sygnału zostały wypełnione zerami. Skrajne próbki sygnałupo wyjsciu z algorytmu kompresji kodów były odrzucane pozostawiajac popraw-ny sygnał o oryginalnej długosci wejsciowej (2048). Takie parametry długosci sy-gnałów zapewniaja poprawne wyniki dla kodów (sygnałów wzorca) o długosci do1024 próbek, co odpowiada mozliwosciom sprzetowym (wielkosci pamieci) układuarbitralnego generatora kodów. W praktyce stosowane dotychczas długosci kodównie przekraczały 256 próbek.

4.7.2.2. Zoptymalizowany algorytm przetwarzania

Modelowy (oryginalny) algorytm wykonuje dwukrotne przejscie z dziedziny cza-su do czestotliwosci i z powrotem. Połaczenie algorytmu kompresji i detekcji ob-wiedni umozliwiło realizacje nastepujacych po sobie operacji w dziedzinie czesto-tliwosci eliminujac zbedne operacje FFT (rys. 4.21).

Wydajnosc zoptymalizowanego algorytmu wzrosła, w stosunku do algorytmumodelowego, od ok. 20% dla pojedynczych kodów do ok. 40% dla kodów Golaya.Uzyskany wzrost predkosci był liczony dla całego toru przetwarzania i wyswietla-nia.

4.7.2.3. Detekcja obwiedni

Utworzenie sygnału wideo linii obrazowej wymaga zastosowania detektora ob-wiedni na sygnale w.cz. po demodulacji (dla nadawania klasycznego) oraz kompre-sji czasowej kodów (dla sygnałów kodowanych).

W cyfrowym przetwarzaniu sygnałów obwiednie uzyskuje sie jako moduł sy-gnału analitycznego. Sygnał analityczny mozna utworzyc dla sygnału rzeczywistegow sposób przedstawiony na rys. 4.22 [146], gdzie składowe I i Q sa nazywane odpo-wiednio kwadraturowa i synfazowa. Transformata Hilberta moze byc zdefiniowana

http://rcin.org.pl

Page 127: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.7. Oprogramowanie sterujace i przetwarzajace 127

FFT X

+

Kod A

FFT X

Kod B

Sygnał

skompresowany

wideo

Sygnał B

Sygnał A

FFT-1

Modyfikacja

widma:

0 dla f<0

2x dla f>0Sygnał

analityczny

Rysunek 4.21.: Zmodyfikowany algorytm kompresji kodów Golaya i detekcji obwiedni w dzie-dzinie czestotliwosci

X

j

Transformata

Hilberta

Sygnał

rzeczywisty

I(t)

jQ(t)

xr(t)

x(t) = I(t) + jQ(t)

Sygnał

analityczny

Q(t)

Rysunek 4.22.: Tworzenie sygnału analitycznego dla sygnału rzeczywistego

jako filtr o nastepujacej charakterystyce:

H( jω) =− j sgn(ω) =

− j , ω> 0

0, ω= 0

j , ω< 0

, (4.1)

czyli przesuwnik fazowy o ±π/2. Cyfrowa implementacja tego filtru moze byc re-alizowana za pomoca filtrów o skonczonej odpowiedzi impulsowej lub metodaDFT/FFT. W systemie mikrosonografu postanowiono zastosowac metode DFT/FFT,która jest znacznie dokładniejsza od metody filtrów [67].

Dla sygnału analitycznego postaci:

x(t ) = I (t )+ jQ(t )

http://rcin.org.pl

Page 128: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

128 4. Projekt systemu

jego widmo mozemy zapisac jako:

X ( f ) =F [x(t )] =F [I (t )+ jQ(t )] =F [I (t )]+ sgn( f )F [I (t )] =

0, f < 0

F [I (t )], f = 0

2F [I (t )], f > 0

.

(4.2)

W ten sposób mozna uzyskac sygnał analityczny za pomoca DFT/FFT z orygi-nalnego sygnału rzeczywistego. Poniewaz algorytm kompresji kodów takze działaw dziedzinie czestotliwosci mozliwe stało sie wytworzenie cyfrowego sygnału ana-litycznego bez dodatkowych operacji FFT (patrz 4.7.2.2.).

4.7.3. Przetwarzanie cyfrowe GPU

Technologia i mozliwosci obliczeniowe procesorów graficznych zostały przed-stawione w podrozdziale 3.1.4.. W systemie oprogramowania mikrosonografu pro-cesor GPU został wykorzystany do realizacji przetwarzania obrazów i wizualiza-cji — w szczególnosci konwersji geometrii sektora (scan-converter) oraz funkcjipost-processingu (paleta kolorów, filtracja obrazowa). Obecne mozliwosci proce-sorów GPU oraz zaimplementowana architektura oprogramowania pozwala na im-plementacje dodatkowych algorytmów przetwarzania.

Oprogramowanie funkcji graficznych i przetwarzania jest czescia składowagłównej aplikacji i zostało zaimplementowane w jezyku C# przy wykorzystaniu bi-bliotek Direct3D do obsługi grafiki 3D bedacych składnikiem zestawu bibliotek ob-sługi multimediów Microsoft DirectX 9 [79]. Całosc zrealizowanego przetwarzaniakorzysta zarówno z elementów standardowego potoku przetwarzania grafiki 3D(np. mapowanie tekstur), jak i z programowalnych shaderów do realizacji funkcjispecjalnych. Shadery zostały oprogramowane w jezyku Microsoft HLSL (ang. HighLevel Shader Language — jezyk shaderów wysokiego poziomu) [50], który jest inte-gralna czescia bibliotek DirectX 9.

Na rys. 4.23 pokazano uproszczony schemat przepływu danych w procesorzeGPU pod kontrola bibliotek DirectX 9. Obiekty 3D (zwane modelami) w postaci listwierzchołków trafiaja do bufora wierzchołków i sa przetwarzane przez potok gra-ficzny — czyli programowalny procesor wierzchołków, rasteryzer i programowalnyprocesor fragmentów. Programowalne procesory, zwane shaderami (wierzchołkówi fragmentów), pozwalaja na realizacje ograniczonej listy operacji na poszczegól-nych małych elementach danych. Masywna równoległosc procesorów GPU objawiasie wykonywaniem tych samych operacji na wielu zestawach danych równoczesnie.

http://rcin.org.pl

Page 129: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.7. Oprogramowanie sterujace i przetwarzajace 129

Tekstury

BBuuffoorr rraammkkii

VS 3.0

Buforwierzcho³ków

RasteryzerProcesorwierzcho³ków

Procesor fragmentów

Rysunek 4.23.: Potok przetwarzania i przepływu danych w procesorze GPU(na podstawie [50])

4.7.3.1. Konwersja geometrii sektora

Dane obrazowe sa zbierane przez głowice sektorowa we współrzednych biegu-nowych, a nastepnie wyswietlane na ekranie rastrowym operujacym we współrzed-nych kartezjanskich. Dodatkowo, poniewaz dane sa zbierane i wyswietlane z innymrastrem transformacja współrzednych wymaga takze zastosowania interpolacji. Ro-dzaj zastosowanej interpolacji ma duze znaczenie dla jakosci uzyskiwanych obra-zów, a jednoczesnie ma wpływ na wymagania obliczeniowe [144]. Idee działaniascan-convertera przedstawiono na rys. 4.24.

Metody interpolacjiNajprostsza mozliwa metoda interpolacji do najblizszego sasiada, polegajaca

na przypisaniu nowemu pikselowi wartosci najblizszego sasiada, daje niezadowa-lajaca jakosc obrazu i czesto wiaze sie z pojawianiem charakterystycznego obra-zu Moiré (rys. 4.25). Obrazy Moiré powstaja wskutek zbyt rzadkiego próbkowaniazmiennego przestrzennie sygnału. Metoda lepsza (i uznawana za standard) jest in-terpolacja biliniowa, która wylicza wartosc piksela na podstawie (bi) liniowej kom-binacji wartosci czterech najblizszych sasiadów z wagami uwzgledniajacymi ichwzgledna odległosc od obliczanego punktu.

Kroki wyliczenia wartosci piksela P metoda interpolacji biliniowej (oznaczeniawg. rys. 4.26):

1. Wyliczenie wartosci pikseli pomocniczych R1 i R2 (interpolacja w kierunku

http://rcin.org.pl

Page 130: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

130 4. Projekt systemu

Rysunek 4.24.: Zasada działania scan-convertera

Rysunek 4.25.: Widok sektora z obrazem testowym dla: (po lewej) interpolacji do najblizszegosasiada, (po prawej) interpolacji biliniowej

http://rcin.org.pl

Page 131: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.7. Oprogramowanie sterujace i przetwarzajace 131

osi X ):

R1 =(

x2 −x

x2 −x1

)Q11 +

(x −x1

x2 −x1

)Q21,

R2 =(

x2 −x

x2 −x1

)Q12 +

(x −x1

x2 −x1

)Q22.

2. Nastepnie na ich podstawie obliczana jest wartosc P (interpolacja w kierun-ku osi Y ):

P =(

y2 − y

y2 − y1

)R1 +

(y − y1

y2 − y1

)R2.

Jak widac algorytm interpolacji biliniowej jest bardziej złozony obliczeniowoi wymaga wiekszej liczby dostepów do pamieci. Nalezy pamietac, ze operacje in-terpolacji musza byc wykonywane dla kazdego punktu obrazu z czestotliwoscia od-swiezania obrazu.

Q

QR

P

RQ

Qy

y

y

x x x21

1

2

1

212 22

2111

Rysunek 4.26.: Geometria i oznaczenia do interpolacji biliniowej

Implementacja scan-converteraSystemy scan-converterów sa najczesciej realizowane w postaci osobnych pod-

systemów w oparciu o programowalne układy cyfrowe FPGA [29]. W systemie mi-krosonografu funkcja scan-convertera została zrealizowana na procesorze GPUprzy pomocy funkcji mapowania tekstury (podobnie do metody zastosowanejw [89]). Mapowanie tekstur to jeden z prymitywów grafiki 3D polegajacy na na-kładaniu płaskiego obrazu na obiekt 3D (np. sciane figury). Obecnie funkcja ta

http://rcin.org.pl

Page 132: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

132 4. Projekt systemu

jest realizowana w potoku graficznym 3D przez procesor GPU. Programista wiazewspółrzedne wierzchołków modelu (trójkatów, wielokatów) 3D z unormowanymiwspółrzednymi tekstury. Funkcja mapowania tekstury interpoluje wartosci współ-rzednych tekstury z wierzchołków do pikseli wypełniajacych dany element graficz-ny [69, 76].

W ultrasonografie linie sygnału w.cz. sa zbierane zgodnie z impulsami otrzymy-wanymi z enkodera głowicy, czyli co zmienny krok katowy (rys. 4.16). Nastepnie dlakazdego punktu obrazu sektora na ekranie nalezy pobrac odpowiedni zinterpolo-wany punkt z bufora zawierajacego skompresowane czasowo linie. Znalezienie od-powiadajacych sobie punktów wymaga przeliczenia kartezjanskich współrzednychekranowych na współrzedne biegunowe, a nastepnie współrzednych biegunowychna połozenie próbki w buforze (adres pamieci).

Zastosowanie mapowania tekstury wymaga jedynie jednokrotnego (dla ustalo-nej geometrii) obliczenia kształtu sektora, który bedzie modelem (kształtem geo-metrycznym) na którym bedzie "rozpinana" tekstura. Tekstura składa sie z linii sy-gnału wideo. Współrzedne tekstury kazdej linii sa zwiazane z obliczona współrzed-na wierzchołka paska sektora odpowiadajacego tej linii (rys. 4.24). Kształt geome-tryczny sektora (model) jest obliczany na podstawie geometrii głowicy (kat sektora)oraz aktualnie ustawionych parametrów akwizycji sygnału — tj. długosci linii obra-zowej i głebokosci obrazowania (opóznienia wstepnego). Zmiana któregokolwiekz tych parametrów powoduje zmiane kształtu sektora. System próbkowania tek-stury korzysta z wbudowanych w system graficzny funkcji interpolacji, które mogabyc łatwo przełaczane. Właczenie interpolacji biliniowej nie powoduje zauwazalnejzmiany predkosci wyswietlania obrazu.

Obraz sektora jest wyswietlany w osobnym oknie graficznym kontrolowanymprzez interfejs Direct3D. Wielkosc okna moze byc dowolnie zmieniana przez uzyt-kownika. Domyslnie system wyswietla obraz w proporcji 1:1, ale dostepny suwakumozliwia zmiane proporcji. Zmiana proporcji obrazu moze byc przydatna przyogladaniu struktur głeboko połozonych dla których kształt sektora staje sie bardzowaski i długi. Dodatkowo okno graficzne jest wyposazone w kursory umozliwiajacepomiar odległosci w obrazie.

Zastosowane rozwiazanie wyswietlania sektora posiada nastepujace zalety:

• uniwersalny i bardzo szybki system scan-convertera — mozliwosc korekcjigeometrii głowicy oraz modyfikacji kształtu sektora,

• wbudowana w system graficzny interpolacja biliniowa dajaca bardzo dobrajakosc obrazu,

• mozliwosc skalowania obrazu i zmiany proporcji wyswietlania,

• mozliwosc rozbudowy o dodatkowe funkcji dostepne w systemie graficznym.

http://rcin.org.pl

Page 133: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.7. Oprogramowanie sterujace i przetwarzajace 133

4.7.3.2. Funkcje pre- i post-processingu

Biblioteki DirectX 9 umozliwiaja wykorzystanie jednostek programowalnych(shaderów) procesora GPU do realizacji funkcji uzytkownika. Programy shaderóww jezyku HLSL moga realizowac przetwarzanie i modyfikacje danych graficznych -np. geometrii/współrzednych modeli, czy wartosci/koloru wyswietlanych pikseli.

Elastycznosc programowania systemu graficznego oraz shaderów umozliwi re-alizacje zaawansowanych funkcji pre-processingu (przed scan-converterem) i post-processingu. Obecnie oprogramowanie shaderów przeprowadza kompresje lo-garytmiczna (na etapie pre-processingu) oraz nakładanie palety kolorów (post-processing). Opracowane oprogramowanie pozwala na właczanie nowych progra-mów realizujacych np. funkcje filtracji obrazu. Mozliwa jest takze realizacja wielunastepujacych po sobie funkcji przetwarzania (np. wielu filtrów) przy pomocy tech-niki shaderów wieloprzejsciowych (ang. multi-pass).

Opracowany system przetwarzania i wyswietlania obrazów w oparciu o standar-dowy potok graficzny 3D i przetwarzanie GPU z łatwoscia spełnia wszystkie obecnewymagania i funkcje dla wizualizacji w ultrasonografii. Rozwiazanie to charaktery-zuje sie bardzo duza wydajnoscia i jednoczesnie nie obciaza procesora głównegokomputera.

4.7.3.3. Wyswietlanie i reprodukcja odcieni

Zagadnienia zwiazane z wyswietlaniem i reprodukcja odcieni szarosci na ekra-nie monitora sa szczególnie wazne w zastosowaniach medycznych. Od jakosci wi-zualizacji i prezentacji obrazu zalezy poprawnosc jego interpretacji i diagnozy. Dozagadnien tych naleza: optymalizacja przekazu informacji obrazowej dla danychwarunków prezentacji, dopasowanie prezentacji do mozliwosci urzadzen obrazuja-cych i percepcji oka ludzkiego oraz powtarzalnosci reprodukcji obrazu na róznychurzadzeniach i w róznych warunkach prezentacji.

Na potrzeby dystrybucji i prezentacji medycznej informacji obrazowej z róz-nych modalnosci został opracowany przez NEMA (National Electrical Manufactu-rers Assocation) standard DICOM (Digital Imaging and Communications in Medi-cine) [84]. DICOM okresla zarówno cyfrowe formaty danych, jak i protokoły komu-nikacyjne słuzace wymianie danych. Standaryzacja został takze objety proces wy-swietlania obrazu — tj. prezentacji skali szarosci urzadzen obrazujacych i zaleznoscpomiedzy cyfrowymi wartosciami obrazu, a poziomami luminancji urzadzenia wyj-sciowego [85]. Idea standaryzacji prezentacji skali szarosci polega na ustaleniu za-leznosci pomiedzy cyfrowa wartoscia sygnału, a prezentowana na wyswietlaczu lu-minancja. Standaryzacja opiera sie na pomiarach oraz modelu ludzkiej percepcji

http://rcin.org.pl

Page 134: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

134 4. Projekt systemu

jasnosci.Standard DICOM nie był stosowany w opracowanym systemie per se, natomiast

odniesiono do niego zastosowany tor przetwarzania jasnosci w systemie wizualiza-cji. Dynamika sygnału obrazowego w ultrasonografii osiaga zakres 60–80 dB dlategomusi zostac odpowiednio skompresowana i dopasowana do mozliwosci wyswietla-czy (30–40 dB) oraz systemu ludzkiej percepcji.

Korekcja gammaParametr gamma charakteryzuje reprodukcje odcieni w systemach obrazuja-

cych i jest zwiazany z nieliniowa uzyskiwanej luminancji w zaleznosci od napie-cia sterujacego. W systemach analogowych zródłem nieliniowosci był monitor CRT(ang. Catode Ray Tube — ekran kineskopowy). Obecnie dla zachowania zgodnosciz systemami wyswietlania monitory LCD symuluja analogiczna krzywa odpowie-dzi. Zaleznosc luminancji punktu ekranowego od napiecia sterujacego opisana jestzaleznoscia:

L = A ·V γ+B ,

gdzie: L — luminancja punktu na ekranie, γ — współczynnik gamma monitora (2–2,5), A — współczynnik wzmocnienia, B — współczynnik przesuniecia.

Postrzeganie odcieniNa poczatku nalezy rozróznic obiektywne fizyczne parametry promieniowania

swiatła od parametrów subiektywnych okreslonych na podstawie ludzkiej percep-cji. Systemy akwizycji i przetwarzania obrazów operuja na sygnałach proporcjo-nalnych do natezenia promieniowania (W/m2), czyli strumienia promieniowaniana jednostke powierzchni (irradiacja ograniczona do widma widzialnego nazywanajest natezeniem oswietlenia). Podobnie systemy grafiki komputerowej funkcjonujaw przestrzeni "swiatła liniowego" (proporcjonalnego do irradiacji/natezenia) dziekiczemu moga wykonywac operacje mieszania kolorów przez kombinacje liniowe.

Z kolei subiektywny parametr bedacy podstawa ludzkiej percepcji, jasnosc (ang.lightness), jest nieliniowa funkcja natezenia swiatła. Fenomenologiczne prawoWebera-Fechnera okresla relacje pomiedzy fizyczna miara bodzca a reakcja ukła-du biologicznego/nerwowego. Dotyczy ono reakcji na bodzce takich zmysłów jakwzrok, słuch czy odczuwanie temperatury. Wartosc reakcji układu biologicznegojest proporcjonalna do logarytmu bodzca:

w = k ∗ ln(B/B0),

gdzie: w — reakcja układu nerwowego (percepcja wrazenia zmysłowego), k — eks-perymentalna stała skalujaca, B — natezenie danego bodzca, B0 — wartosc poczat-kowa bodzca.

http://rcin.org.pl

Page 135: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.7. Oprogramowanie sterujace i przetwarzajace 135

Innym eksperymentalnym prawem dotyczacym ludzkiego wzroku jest próg po-strzegania róznicy jasnosci (kontrastu) okreslony jako 1% zmiany natezenia swia-tła [114]. Rozróznialnosc zmian jasnosci wymusza nieliniowe kodowanie jasnoscikoloru w standardzie RGB24 (24-bity/piksel). Liniowe kodowanie jasnosci kazdegokoloru w 8-bitach (wartosc 0–255) wiaze sie z efektem widocznych przejsc (pasm)jasnosci. Dla małych wartosci kodu jasnosci przejscia pomiedzy kolejnymi kodamiodpowiadaja znacznie wiekszym procentowym róznicom jasnosci (np. dla kodu 25róznica wynosi 4%). Z kolei dla kodów powyzej 100 róznica pomiedzy kolejnymi ko-dami jest mniejsza od 1% i zmniejsza sie ze wzrostem numeru kodu, co powodujenieefektywne wykorzystanie kodów. Z tego powodu obrazy zapisywane w forma-cie RGB24 sa kodowane liniowo w jasnosci przez zastosowanie nieliniowej funkcjiludzkiej percepcji jasnosci do wejsciowego poziomu natezenia swiatła.

Funkcje ludzkiej percepcji jasnosci przybliza sie funkcja potegowa o wykładnikuok. 0,4 [114]. W praktyce w systemach komputerowych powszechnie stosowany jeststandard sRGB, w którym funkcja percepcji jest okreslona jako funkcja liniowa dlabardzo małych wartosci natezenia i potegowa dla wiekszych wartosci [56, 47].

Generalnie w systemach graficznych komputerów mozna spotkac dwa systemytoru wyswietlania: z buforem ramki "liniowym w jasnosci" (rys. 4.27) oraz "linio-wym w natezeniu" (rys. 4.28). Standardowo systemy wyswietlania PC pracuja "linio-wo w jasnosci" i korzystaja z przechowywania i wyswietlania obrazów w formacieRGB24 (wg. standardu sRGB), co zapewnia oszczednosc pamieci oraz dobra repre-zentacje odcieni za pomoca 256 poziomów jasnosci. W przypadku przetwarzaniagrafiki 3D, która wymaga mieszania kolorów (ang. blending) stosowany jest trybpracy z buforem ramki "liniowym w natezeniu" (rys. 4.28). W tym trybie operacjemieszania kolorów sa liniowe, ale wymaga to stosowania buforów ramki o wiekszejprecyzji (co najmniej 10-bitów/kolor) w celu zapewnienia dobrej reprezentacji od-cieni. Dodatkowo na wyjsciu liniowym w natezeniu musi byc zastosowana korekcjagamma. Biblioteka Direct3D udostepnia funkcje regulacji krzywej gamma (SetGam-maRamp) oraz automatycznej konwersji pomiedzy obrazami zapisanymi w stan-dardzie sRGB i liniowymi w natezeniu.

Bufor ramki

RGB24

Natężenie

Funkcja

przejściaimplicite Monitor Ludzka

percepcja

2,5

0,450,45

Rysunek 4.27.: Tor wyswietlania z buforem ramki "liniowym w jasnosci"

http://rcin.org.pl

Page 136: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

136 4. Projekt systemu

Bufor ramki0,45

Natężenie 2,5

0,45

implicite Korekcja

gammaMonitor Ludzka

percepcja

Wymaga

> 24 bitów/piksel

Rysunek 4.28.: Tor wyswietlania z buforem ramki "liniowym w natezeniu"

Aplikacja wizualizacji mikrosonografu działa w trybie graficznym 3D, czyli z bu-forem ramki "liniowym w natezeniu". Wejsciowy bufor graficzny tekstury uzywa-ny do obróbki i wyswietlania obrazów pracuje w zmiennopozycyjnym formacie 16-bitowym, który zapewnia pokrycie pełnego zakresu wymaganej dynamiki. Dodat-kowe korekty nieliniowosci (poza gamma) moga byc realizowane na etapie post-processingu, gdzie obecnie nakładana jest paleta kolorów. System ma zdefiniowa-ne palety odcieni szarosci oraz kolorowe. Wzorce palet sa zapisane w plikach i mogabyc łatwo modyfikowane i rozszerzane o nowe palety.

4.7.4. Oprogramowanie uzytkowe

Aplikacja uzytkowa systemu mikrosonografu spełnia funkcje sterujaco-kontrolne, przetwarzania i wizualizacji. Aplikacja została zaimplementowanaw jezyku C# na platformie Microsoft .Net i przy wykorzystaniu bibliotek Direct3D.Interfejs uzytkownika jest zbudowany przy pomocy Windows Forms bedacychelementem platformy Microsoft .Net [109, 110]. Czesc funkcjonalnosci zwiazanejz obsługa komunikacji USB oraz przetwarzania sygnałów jest zawarta w bibliotecefx2hlp.dll (rys. 4.17). Biblioteka fx2hlp.dll została zaimplementowana w jezyku Cponiewaz korzysta wewnetrznie z biblioteki obsługi USB (cyapi.dll) oraz bibliotekinumerycznej Intel IPP, które udostepniaja interfejsy dla tego jezyka.

W celu zapewnienia komunikacji, przetwarzania i wyswietlania w czasie rzeczy-wistym przy zapewnieniu odpornosci na chwilowe wahania predkosci transmisjii czasu wykonywania operacji zastosowano podział aplikacji na watki wykonywaniaprogramu oraz mechanizm buforowania. Główny watek aplikacji realizuje funkcjeobsługi interfejsu uzytkownika, przetwarzania i wyswietlania. Funkcje obsługi ko-munikacji strumieniowej przez USB sa wykonywane w bibliotece fx2hlp.dll w osob-nym watku. Watek komunikacji USB korzysta z asynchronicznych (nieblokujacych)funkcji wej./wyj. do obsługi komunikacji i buforowania danych. Funkcje asynchro-niczne pracuja w trybie overlapped [121], który zapewnia optymalne wykorzysta-nie zasobów systemu operacyjnego. Mechanizm overlapped polega na zaalokowa-niu zestawu wielu buforów pamieci i przekazaniu ich do warstwy jadra. Sterownik

http://rcin.org.pl

Page 137: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.7. Oprogramowanie sterujace i przetwarzajace 137

urzadzenia (USB) realizujacy odbiór danych korzysta bezposrednio z przekazanychbuforów i wypełnia je nadchodzacymi danymi. Zapełnienie kolejnego bufora jestsygnalizowane aplikacji za pomoca jednego z mechanizmów komunikacji miedzy-procesowej Win32 [121]. Aplikacja przejmuje kontrole nad wypełnionym buforem— np. realizuje niezbedne operacje przetwarzania, a nastepnie przekazuje go z po-wrotem do warstwy jadra. Dzieki zastosowaniu wielu buforów operacje odbioru da-nych i ich przetwarzania moga byc realizowane równolegle, co znaczaco zwiekszawydajnosc.

Pojedyncze, odebrane przez USB, linie sygnału w.cz. sa nastepnie poddawaneprzetwarzaniu i przekazywane do aplikacji. Aplikacja tworzy bufor danych jedne-go obrazu, który jako tekstura jest przekazywany do systemu wyswietlania sektora.Subsystem wyswietlania realizuje funkcje scan-convertera przez mapowanie prze-kazanej tekstury na zadany kształt sektora. Opcjonalnie moga byc realizowane do-datkowe operacje pre- i post-processingu.

Na rys. 4.29 pokazano wyglad głównego okna kontrolnego aplikacji, a ponizejopisano jego podstawowe funkcje uzytkowe:

A — menu z funkcjami zapamietywania surowych sygnałów w.cz. oraz przetworzo-nych obrazów.

B — pasek narzedziowy z dostepem do najczesciej wykorzystywanych funkcji: uru-chamianie akwizycji, zapamietywanie obrazów. Uruchamianie tych funkcjijest takze mozliwe z klawiatury za pomoca klawiszy funkcyjnych.

C — wybór palet kolorów obrazu. Dostepne sa palety odcieni szarosci w skali linio-wej i logarytmicznej oraz palety kolorowe (np. termiczna, sepia, hsv). Systempalet opiera sie na plikach, dzieki czemu nowe palety moga byc dodawanedo systemu bez modyfikacji aplikacji.

D — wybór kodu nadawczego. System wspiera arbitralne przebiegi kodowane, któ-re sa wczytywane z dysku z plików tekstowych. Wzorce kodów, po ich wy-braniu, sa ładowane do modułu kodera-digitizera oraz słuza do przeprowa-dzania kompresji czasowej. Dzieki tekstowemu formatowi plików, nowe ko-dy moga byc łatwo projektowane i tworzone w zewnetrznych programachobliczeniowych (np. Matlab, Mathcad) i dodawane do systemu przez prosteumieszczenie pliku w odpowiednim katalogu.

E — wybór funkcji post-processingu. Dodatkowe funkcje post-processingu sa re-alizowane przez specjalizowane programy shaderów procesora GPU. Kon-trolka umozliwia wybieranie i ładowanie dostepnych programów. Programyshaderów sa ładowane z tzw. pliku efektów, co umozliwia ich modyfikacjebez rekompilacji głównej aplikacji.

http://rcin.org.pl

Page 138: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

138 4. Projekt systemu

Rysunek 4.29.: Widok głównego okna kontrolnego aplikacji mikrosonografu:A–L opis w tekscie

F — cyfrowa regulacja dynamiki sygnału. Kompresja czasowa powoduje cyfrowewzmocnienie sygnału, który musi byc nastepnie przeskalowany do zakre-su wartosci jasnosci pikseli. Kontrolka umozliwia arbitralne skalowanie lubwłaczenie funkcji automatycznego skalowania na podstawie wartosci mak-symalnej sygnału.

G — regulacja głebokosci obrazowania słuzy do ustalania wstepnego opóznieniaakwizycji sygnału, a tym samym okreslenia głebokosci z jakiej zbierany jestobraz. Zmiana głebokosci powoduje zmiane kształtu sektora, który jest auto-matycznie przeliczany.

H — zmiana skali obrazu pozwala na zmiane domyslnej proporcji 1:1 obrazu.

http://rcin.org.pl

Page 139: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

4.8. Podsumowanie 139

Funkcja moze byc przydatna w przypadku obrazowania głeboko połozonychobszarów (kiedy kształt sektora staje sie bardzo długi i waski) lub do zwiek-szenia wizualnej rozdzielczosci w jednej osi.

I — regulacja poziomu ZRW. Okresla poczatkowy poziom tłumienia z którego star-tuje ZRW. System umozliwia arbitralne programowanie krzywej ZRW, jednakobecnie jest ona generowana jako funkcja "liniowa w dB" o zadanym nachy-laniu i punkcie poczatkowym.

J — regulacja głebokosci ZRW. Okresla głebokosc na jakiej ma zaczac działac ZRW.

K — regulacja wzmocnienia analogowego odbiornika.

L — dodatkowe parametry dla niestandardowych ustawien. Parametry te sa obec-nie uzywane jedynie w celach uruchomieniowo-testowych. W wersji aplika-cji dla lekarzy te kontrolki sa zablokowane.

4.8. Podsumowanie

Zaprojektowany i zrealizowany system poza spełnieniem pierwotnych załozenosiagnał takze dodatkowe cele:

• uniwersalnosc modułu kodera-digitizera — szerokie mozliwosci innych za-stosowan w akwizycji sygnałów w.cz. w róznych dziedzinach nauki i techniki,

• małe rozmiary i niski koszt modułu,

• niski pobór pradu — mozliwosc zasilania bezposrednio z portu USB kompu-tera,

• opracowana strumieniowa architektura przetwarzania softwarowego po-zwalajaca na realizacje innych systemów przetwarzania i wizualizacji w cza-sie rzeczywistym,

• know-how pozwalajacy na realizacje kolejnych systemów wykorzystujacychnajnowsze układy i systemy elektroniczne.

O uniwersalnosci opracowanego modułu oraz oprogramowania moga swiad-czyc trzy nowe zastosowania jakie system do dnia dzisiejszego znalazł w labora-torium Zakładu Ultradzwieków IPPT (rozdział 6). Analize mozliwosci rozbudowyobecnego systemu oraz potencjalne mozliwosci innych zastosowan opracowanychtechnologii przedstawiono w rozdziale 6.

http://rcin.org.pl

Page 140: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

http://rcin.org.pl

Page 141: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

5

Wyniki

Zaprojektowany i wykonany system mikrosonografu został poddany badaniomi weryfikacji poprawnosci działania, w szczególnosci: generacji sygnałów kodowa-nych, akwizycji ech, komunikacji pomiedzy modułem i komputerem PC oraz algo-rytmów cyfrowego przetwarzania sygnałów. Ponadto okreslono jakosc uzyskanegoprzetwarzania A/C mierzac dynamiczne parametry przetwornika dla sygnałów si-nusoidalnych.

Kompletny i przetestowany system został uzyty do przeprowadzenia wstepnychbadan eksperymentalnych in vivo w laboratorium. Obecnie trwaja pierwsze bada-nia kliniczne z wykorzystaniem aparatu przedstawione w koncowej czesci rozdzia-łu.

5.1. Testy modu lu

5.1.1. Komunikacja modu l ↔ komputer PC

Przepustowosc transmisji danych z modułu do komputera ma podstawowe zna-czenie dla funkcjonowania całego systemu w czasie rzeczywistym.

W pierwszym etapie zaprojektowano prosty generator danych testowych dlaukładu FPGA. Dane generowane w FPGA były przekazywane do kontrolera inter-fejsu USB, a nastepnie transmitowane do PC i tam weryfikowane. Całosc obsługitestów komunikacji i weryfikacji danych po stronie PC została zaimplementowanaw formie skryptów w jezyku Python.

Poniewaz moduł zaprojektowano do strumieniowej transmisji danych zawieraon tylko bufor w FPGA na jedna linie akwizycji (podwójna dla kodów Golaya). Danelinii musza zwolnic bufor FPGA przed kolejna akwizycja, czyli musza zostac prze-słane do układu kontrolera USB. Układ USB zawiera 4 bufory po 512 bajtów kazdy,które sa wykorzystywane do obsługi komunikacji. Obecne korzystamy z linii w.cz.o długosci 2048 próbek, co daje 4096 bajtów danych (dwa bajty na próbke). Liczbadanych ulega podwojeniu dla kodów Golaya. Widac z tego, ze całkowita pojemnoscbuforów w module (FPGA + układ USB) wynosi 1,5 linii sygnału.

http://rcin.org.pl

Page 142: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

142 5. Wyniki

Sprawdzono dwa tryby transmisji danych:

1. Generator wytwarza dane testowe, które sa przekazywane w miare mozliwo-sci do układu kontrolera USB. W przypadku zajetosci buforów USB układ ge-neratora czeka na ich zwolnienie. W ten sposób symulowany jest nieskon-czenie duzy bufor danych po stronie układu FPGA, gdyz nie nastepuje tutajprzepełnienie bufora FPGA w przypadku opóznien zwiazanych z transmisjaUSB.

2. Drugi schemat odpowiada normalnemu trybowi pracy, w którym dane z ge-neratora (docelowo z przetwornika A/C) wypełniaja bufor linii w układzieFPGA z predkoscia próbkowania. Dane z bufora FPGA sa przekazywane doukładu USB w miare mozliwosci (wolnych buforów USB). Niewystarczajacapredkosc transmisji danych przez USB powoduje bład przepełnienia buforaFPGA, który nie zdaza byc oprózniony przed kolejna akwizycja.

Na komputerze notebook ACER TravelMate 4650 (procesor Intel Pentium M z ze-garem 1,7 GHz, 2 MB pamieci podrecznej drugiego poziomu, 512 MB pamieci ope-racyjnej RAM) uzyskano przepustowosc transmisji USB: do 40 MB/s dla trybu 1 orazdo 30 MB/s dla trybu 2, oba przy zuzyciu czasu procesora na poziomie ponizej 30%.W trybie 2 zaobserwowano do 5% błedów przepełnienia bufora. Oznacza to, zeusredniona predkosc transmisji USB moze osiagac pułap 30–40 MB/s, jednak wy-stepuja drobne "zaciecia" transmisji, czyli zachwiania predkosci odbioru danychprzez PC. Ustalono, ze "zaciecia" transmisji USB wystepuja na poziomie sterowni-ków/jadra. System operacyjny Windows nie gwarantuje limitu czasu obsługi zda-rzen i przerwan od urzadzen wej./wyj., dlatego jedynym rozwiazaniem jest zapew-nienie odpowiednio duzych buforów.

Wystepowanie błedów przepełnien pojedynczych linii powoduje zgubienie ob-razu oraz koniecznosc resynchronizacji akwizycji kolejnego obrazu z pozycja kran-cowa ruchu głowicy. Poniewaz w module kodera-digitizera nie przewidziano wiek-szego bufora danych konieczne było ograniczenie predkosci zbierania obrazów dook. 8 obrazów/s, co jest ciagle wystarczajaca predkoscia do obrazowania strukturnieruchomych.

Alternatywnym rozwiazaniem mogłoby byc numerowanie przesyłanych linii i al-gorytm wypełniania obrazu za pomoca otrzymanych kompletnych linii. Niekom-pletne lub opuszczone linie obrazu byłyby wypełniane trescia np. z poprzedzajacejlinii lub przez interpolacje miedzyliniowa.

5.1.2. Parametry systemu akwizycji

Zdajac sobie sprawe z podstawowego znaczenia parametrów przetwarzania A/Cdla jakosci obrazowania przeprowadzono pomiary przetwornika A/C przy pobu-

http://rcin.org.pl

Page 143: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

5.1. Testy modułu 143

dzeniu sygnałem zmiennym.

Na podstawie metodologii testowania przetworników A/C [25, 70, 71, 73] opra-cowano stanowisko testowe oraz zestaw skryptów do okreslania parametrów prze-twarzania. Skrypty, uruchamiane z linii polecen, realizowały akwizycje wektorówsygnału o długosci 1024–8192 próbek z czestotliwoscia 200 MHz, a nastepnie ichprzetwarzanie. Zastosowano technike pomiaru parametrów dynamicznych prze-tworników A/C metoda FFT (patrz podrozdział 3.2.3.). Poniewaz sygnał wejsciowynie był synchronizowany z zegarem próbkowania (tzw. próbkowanie niekoherent-ne) konieczne było okienkowanie — stosowano okno Hanninga. Nastepnie, na pod-stawie obliczonego FFT, skrypt obliczał parametry: SNR, SINAD, ENOB, SFDR. Doobliczen ENOB przetwornika A/C metoda FFT zastosowano parametry (tabela 5.1)zalecane w oprogramowaniu ADC Analyzer firmy Analog Devices [?]. Zniekształ-cenia harmoniczne brały pod uwage pierwszych 6 harmonicznych czestotliwoscipodstawowej.

Tabela 5.1.: Parametry FFT do obliczen ENOB przetwornika A/C

Parametr liczba prazków FFT

Upływ spektralny czestotliwosci podstawowej 25Upływ spektralny harmonicznych 3Upływ spektralny dla sygnału DC 6

Zródłem sinusoidalnego sygnału testowego był generator Hewlett-Packard8643A, który przez pasywny filtr dolnoprzepustowy na 50 MHz był podłaczony dowejscia modułu. Poziom napiecia wyjscia z generatora ustalono na +6 dBm dla ob-ciazenia 50Ω, co zapewniało pełne wysterowanie przetwornika.

Jako jeden parametr do porównan wybrano najbardziej reprezentatywna efek-tywna liczbe bitów ENOB, która okresla liczbe bitów idealnego przetwornika o dy-namice odpowiadajacej dynamice badanego przetwornika. Parametr ENOB mierzysie dla okreslonej czestotliwosci próbkowania oraz poziomu wejsciowego sygnałusinusoidalnego. ENOB uwzglednia wszelkie zródła zakłócen i szumów wystepujacew układzie przetwornika A/C.

Katalogowe dane dla wykorzystywanego układu przetwornika A/C MaximMAX1215 [74] podaja jako typowa wartosc SINAD 65 dB, co odpowiada ENOB =10,5bitów. Dla pierwotnej wersji płytki FX2 z programowalnym układem genera-tora zegara Cypress CY22393 [36] dla czestotliwosci wejsciowej 30 MHz uzyskanoENOB 8,2 bitów; dla drugiej wersji z układem generatora kwarcowego Epson EG-2121 [39] ENOB wynosił 10,2 bitów. Uzyskany w realnym układzie poziom dynamikiprzetwornika A/C, przy zastosowaniu generatora kwarcowego, jest bardzo zblizony

http://rcin.org.pl

Page 144: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

144 5. Wyniki

do danych katalogowych.

W celu zbadania wpływu zegara na uzyskana dynamike przetwarzania A/Czmierzono jitter zegara za pomoca wysokiej klasy oscyloskopu z analiza jittera Agi-lent DSA91304A o pasmie 13 GHz i czestotliwosci próbkowania 40 GS/s. Dla ukła-du zegara Cypress CY22393 zmierzono wartosc RMS jittera 14 ps, a dla generatorakwarcowego Epson EG-2121 3 ps. W podrozdziale 3.2.3.3. pokazano zwiazek wiel-kosci jittera zegara z wartoscia SNR przetwarzania A/C dla okreslonej czestotliwo-sci wejsciowej. Obliczajac SNR przetwornika A/C dla zmierzonych wartosci jitterazegara próbkujacego mozna zauwazyc, ze to własnie jitter zegara determinuje uzy-skane wartosci efektywnej liczby bitów przetwornika. Wielkosc jittera 14 ps zegaraCypress dla czestotliwosci wejsciowej 30 MHz ogranicza teoretycznie SNR do 51,6 dB(ENOB = 8,3bitów), co jest w zgodzie z pomiarem ENOB 8,2 bitów. Jakosc genera-tora Epson EG-2121 jest wystarczajaca zeby zapewnic katalogowe parametry prze-twornika A/C — jitter 3 ps zapewnia teoretyczny SNR 65 dB (ENOB = 10,5bitów).Zmierzona wartosc ENOB 10,2 bitów jest nieco nizsza od wartosci katalogowej, naco wpływ maja zapewne inne zródła zakłócen i zniekształcen sygnału wejsciowego.

Otrzymane wyniki pomiarów parametrów przetwarzania A/C sa zblizone dodanych katalogowych, co wskazuje na poprawnosc konstrukcji systemu elektro-nicznego. Jitter zegara próbkujacego ma decydujacy wpływ na jakosc przetwarza-nia i w wielu praktycznych zastosowaniach próbkowania sygnałów w.cz. ograniczamozliwa do uzyskania efektywna liczbe bitów przetwornika A/C.

5.1.3. Weryfikacja w p etli elektrycznej

Weryfikacja systemu (modułu i oprogramowania przetwarzania) w petli elek-trycznej polegała na podłaczeniu wyjscia kodera do wejscia układu akwizycji. W tensposób sygnały nadawcze trafiały bezposrednio do odbiornika — gdzie były digita-lizowane i nastepnie przetwarzane. Taki test miał sprawdzic poprawnosc działaniaukładu kodera-digitizera, transmisji oraz softwarowej realizacji kompresji czasowejkodów. Jak widac na rys. 5.1 przebiegi w petli elektrycznej sa zgodne z teoretyczny-mi.

5.1.4. Sygna ly od reflektora w wodzie

Kolejny test, tym razem kompletnego systemu mikrosonografu (moduł kodera-digitizera wraz z analogowymi układami wej./wyj.), został przeprowadzony meto-da echa od reflektora. Głowica z wyłaczonym silnikiem (unieruchomiona) zostałaumieszczona w statywie nad płaskim reflektorem zanurzonym w wodzie. Na rys. 5.2sa pokazane przebiegi dla pobudzen krótkim impulsem (1 okres sinusoidy 20 MHz),

http://rcin.org.pl

Page 145: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

5.1. Testy modułu 145

-1

-0.5

0

0.5

1

0.0 0.2 0.4 0.6 0.8 1.0

Am

pli

tud

a[a

.u.]

Czas [µs]

a)

-1

-0.5

0

0.5

1

0.0 0.2 0.4 0.6 0.8 1.0

Am

pli

tud

a[a

.u.]

Czas [µs]

b)

-100

-50

0

50

100

150

0.0 0.5 1.0 1.5 2.0

Am

pli

tud

a[a

.u.]

Czas [µs]

c)

-100

-50

0

50

100

150

0.0 0.5 1.0 1.5 2.0

Am

pli

tud

a[a

.u.]

Czas [µs]

d)

-200

-100

0

100

200

300

0.0 0.5 1.0 1.5 2.0

Am

pli

tud

a[a

.u.]

Czas [µs]

e)

0

100

200

300

0.0 0.5 1.0 1.5 2.0

Am

pli

tud

a[a

.u.]

Czas [µs]

f )

Rysunek 5.1.: Weryfikacja systemu w petli elektrycznej dla 16-bitowych kodów Golay na20 MHz: a) sygnał nadawczy kod A, b) sygnał nadawczy kod B, c) skompresowany odebranykod A, d) skompresowany odebrany kod B, e) suma skompresowanych kodów A i B, f) ob-

wiednia sumy skompresowanych kodów A i B

a na rys. 5.3 dla sygnałów kodowanych (16-bitowy kod Golaya 20 MHz). W odróz-nieniu od przypadku idealnego (petli elektrycznej), w tym przypadku mamy ogra-niczone pasmo przez głowice oraz wzmacniacze analogowe. Pomimo tego uzysku-jemy poprawna kompresje czasowa impulsu (rys. 5.3f). Widoczne jest nieznacznezniekształcenie uzyskanego impulsu (rys. 5.3e oraz f), które wynika z dodatkowychdrgan przetwornika (tzw. ogona).

http://rcin.org.pl

Page 146: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

146 5. Wyniki

-1

-0.5

0

0.5

1

0.0 0.2 0.4 0.6 0.8 1.0

Am

pli

tud

a[a

.u.]

Czas [µs]

a)

0

0.2

0.4

0.6

0.8

1

10.0 10.5 11.0 11.5 12.0 12.5

Am

pli

tud

a[a

.u.]

Czas [µs]

b)

0

0.2

0.4

0.6

0.8

1

0 20 40 60 80 100

Mo

c[a

.u.]

Czestotliwosc [MHz]

c)

0

0.2

0.4

0.6

0.8

1

0 20 40 60 80 100

Mo

c[a

.u.]

Czestotliwosc [MHz]

d)

Rysunek 5.2.: Eksperymentalne sygnały ech od reflektora w wodzie: a) sygnał nadawczy1 okres sinusoidy na 20 MHz, b) obwiednia sygnału echa, c) widmo mocy sygnału nadaw-

czego, d) widmo mocy odebranego echa

5.1.5. Geometria systemu obrazuj acego

Geometria obrazowania systemu została zweryfikowana na wzorcu nitkowym.Wzorzec był wykonany z zyłki nylonowej o srednicy 0,2 mm rozciagnietej pomie-dzy dwiema blaszkami z rastrem kwadratowym 1 mm. Pomiary odległosci pomie-dzy nitkami na obrazie uwzgledniajace rzeczywisty rozmiar piksela wykazały roz-bieznosci nie wieksze niz 0,1 mm.

Geometria sektora na ekranie powstaje w procesie scan-convertera na podsta-wie parametrów mechanicznych głowicy. Dokładnosc wykonania i pomiaru me-chaniki głowicy decyduja o dokładnosci geometrycznej obrazowania. Nalezy miecna uwadze, ze zastosowana głowica jest zmodyfikowana standardowa głowica doobrazowania w zakresie czestotliwosci 3,5 –7 MHz, gdzie rozdzielczosc podłuznai poprzeczna sa wielokrotnie mniejsze.

http://rcin.org.pl

Page 147: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

5.1. Testy modułu 147

-1

-0.5

0

0.5

1

0.0 0.5 1.0 1.5 2.0 2.5

Am

pli

tud

a[a

.u.]

Czas [µs]

a)

-1

-0.5

0

0.5

1

10.0 10.5 11.0 11.5 12.0 12.5

Am

pli

tud

a[a

.u.]

Czas [µs]

b)

0

0.2

0.4

0.6

0.8

1

0 20 40 60 80 100

Mo

c[a

.u.]

Czestotliwosc [MHz]

c)

0

0.2

0.4

0.6

0.8

1

0 20 40 60 80 100

Mo

c[a

.u.]

Czestotliwosc [MHz]

d)

-1

-0.5

0

0.5

1

10.0 10.5 11.0 11.5 12.0 12.5

Am

pli

tud

a[a

.u.]

Czas [µs]

e)

0

0.2

0.4

0.6

0.8

1

10.0 10.5 11.0 11.5 12.0 12.5

Am

pli

tud

a[a

.u.]

Czas [µs]

f )

Rysunek 5.3.: Eksperymentalne sygnały ech od reflektora w wodzie: a) sygnał nadawczy 16-bitowy kod Golaya 20 MHz (jeden z pary), b) odebrany sygnał echa od reflektora, c) widmomocy sygnału nadawczego, d) widmo mocy odebranego echa, e) skompresowany sygnał

echa, f) obwiednia skompresowanego sygnału echa

5.1.6. Testy oprogramowania przetwarzania i wizualizacji

Pierwsze testy wydajnosci kompletnego oprogramowania przeprowadzono nakomputerze typu notebook ACER TravelMate 4650 o konfiguracji: procesor In-tel Pentium M z zegarem 1,7 GHz, 2 MB pamieci podrecznej drugiego poziomu,512 MB pamieci operacyjnej RAM, procesor graficzny Nvidia GeForce Go 6600z 64 MB pamieci wideo. Testy przeprowadzono dla modelowego algorytmu prze-

http://rcin.org.pl

Page 148: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

148 5. Wyniki

twarzania oraz algorytmu zoptymalizowanego. Przy pracy z głowica z predkoscia7 obrazów/s zanotowano 54% obciazenie procesora dla algorytmu modelowegooraz 37% dla algorytmu zoptymalizowanego. Ekstrapolujac czasy przetwarzania po-szczególnych algorytmów ustalono, ze system w tej konfiguracji moze pracowacz predkoscia do 25 obrazów/s.

5.1.6.1. Wydajnosc toru przetwarzania i wyswietlania

W celu ustalenia maksymalnej mozliwej predkosci przetwarzania i wyswietla-nia przeprowadzono kolejne testy na wydajniejszym komputerze stacjonarnym bezsynchronizacji z ruchem mechanicznym głowicy oraz ograniczen transmisji cyfro-wej z modułu. Przyjeto parametry sygnału w.cz. takie same jak dla obrazowaniaz głowica tj. 200 linii/obraz oraz 2048 próbek/linie.

Dla komputera PC z procesorem Intel Core2 Duo E6550 z zegarem 2,33 GHz, wy-posazonego w dwa rdzenie, 4 MB pamieci podrecznej i 2 GB pamieci RAM oraz kartegraficzna Nvidia GeForce 8500 uzyskano wyniki przedstawione w tabeli 5.2.

Tabela 5.2.: Predkosc przetwarzania i wyswietlania (liczba obrazów/s)

Transmisja Algorytm Algorytm Wzrostmodelowy zoptymalizowany wydajnosci (%)

Pojedyncza 65 86 +32Podwójna 43 60 +40

Na podstawie tych danych mozna stwierdzic, ze zaimplementowane oprogra-mowanie pozwala na przetwarzanie i wyswietlanie w czasie rzeczywistym w szero-kim zakresie predkosci. Widoczna jest znaczaco wyzsza wydajnosc algorytmu zop-tymalizowanego — dla podwójnej transmisji (kodów Golaya) niemal 50%.

5.1.6.2. Wydajnosc scan-convertera

Majac na uwadze inne mozliwe zastosowania samego algorytmu scan-convertera zmierzono jego wydajnosc. Dla obrazu o rozmiarze 550 na 550 pikselii dla wejsciowych danych obrazowych w formacie 200 linii po 1024 próbki na wy-dajnym komputerze PC wyposazonym w karte graficzna Nvidia GeForce 8600GTuzyskano predkosc przetwarzania powyzej 700 obrazów/s! Standardowe monitorymaja czestotliwosc odswiezania obrazu w zakresie 50–100 Hz i proces wyswietlaniaobrazów przez karte graficzna jest z nia wewnetrznie zsynchronizowany. Pomiaryprzeprowadzono przy właczonej specjalnej opcji karty powodujacej odswiezaniepamieci obrazu bez czekania na synchronizacje sygnału monitora.

http://rcin.org.pl

Page 149: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

5.2. Porównanie kodów 149

Uzyskana predkosc działania przekracza wszystkie opisane w literaturze sprze-towe systemy scan-converterów do obrazowania ultradzwiekowego [29]. Opraco-wany scan-converter jest rozwiazaniem znaczaco tanszym, szybszym i bardziej uni-wersalnym od dedykowanych systemów sprzetowych. Tak duza predkosc wyswie-tlania predysponuje ten system do obrazowania pracy serca małych zwierzat — np.myszy dla których wymagane jest obrazowanie z predkoscia 150–300 obrazów/s.

5.2. Porownanie kodow

W ramach testów systemu oraz badan transmisji kodowanej przeprowadzonopomiary rozdzielczosci podłuznej oraz zysku kompresji dla kodów fazowych (Bar-kera i Golaya) i porównano je z pobudzeniem krótkim impulsem. Ponadto przepro-wadzono jakosciowe badania porównawcze in vivo dla pobudzen kodowanych.

5.2.1. Rozdzielczosc pod luzna i stosunek sygna l-szum

Badania ilosciowe rozdzielczosci oraz zysku stosunku sygnał-szum dla transmi-sji kodowanej przeprowadzono na pojedynczych liniach sygnału w.cz. ech od reflek-tora. Głowica była umieszczona w statywie nad reflektorem z brazu i wyposazonaw jeden z pierwszych prototypowych przetworników thick-film o stosunkowo wa-skim pasmie (ok. 40%). System ultrasonografu pracował w trybie obrazowym (pre-zentacja B). Z zapisanych sygnałów ech w.cz. dla obrazu była wybierana pojedynczalinia w.cz. dla której zanotowano maksymalna amplitude ech. Przygotowane skryp-ty okreslały w sposób automatyczny rozdzielczosc FWHM (ang. Full Width at HalfMaximum — szerokosc impulsu w połowie wysokosci) i stosunek sygnał-szum.

Pomiary wykonano w osrodku bez tłumienia (woda) oraz osrodku tłumiacym— z warstwa zelu grubosci 1 cm wykonanego z mieszaniny agaru, gliceryny i wodyo tłumieniu ok. 0,3 dB/MHz/cm . W tabeli 5.3 i na wykresach 5.4 i 5.5 przedstawio-no otrzymane wyniki dla czestotliwosci 20 MHz. Widoczny jest wzrost (rys. 5.4) sto-sunku sygnał-szum dla kodów Golaya o rosnacej długosci, przy czym jest on nieconizszy dla osrodka tłumiacego niz dla wody. Rozdzielczosc (rys. 5.5) mierzona w po-łowie wysokosci impulsu (FWHM) jest dla kodów Golaya wyzsza niz dla pobudze-nia krótkim impulsem i tym razem nieco nizsza w osrodku tłumiacym niz w wodzie.Gorsze wyniki w osrodku tłumiacym sa spowodowane zniekształceniem widma echwynikajacym z tłumienia, a tym samym gorsza korelacja ech odbiorczych ze wzor-cem.

http://rcin.org.pl

Page 150: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

150 5. Wyniki

30.0

35.0

40.0

45.0

50.0

55.0

Sinus Golay 8 Golay 16 Golay 32

SNR

[dB

] WODA 20MHzAGAR 20MHz

Rysunek 5.4.: Porównanie stosunku sygnał-szum dla kodów fazowych przy czestotliwosci20 MHz w wodzie i osrodku tłumiacym (agar)

WODA 20MHz

AGAR 20MHz0

5

10

15

20

25

30

35

40

SinusGolay 8

Golay 16Golay 32

Barker

Roz

dzie

lczo

ść [u

m]

Rysunek 5.5.: Porównanie rozdzielczosci dla kodów fazowych przy czestotliwosci 20 MHzw wodzie i osrodku tłumiacym (agar)

http://rcin.org.pl

Page 151: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

5.2. Porównanie kodów 151

Tabela 5.3.: Porównanie rozdzielczosci i stosunku sygnał-szum dla kodów fazowychprzy czestotliwosci 20 MHz w wodzie i osrodku tłumiacym

Pobudzenie Woda Osrodek tłumiacySNR [dB] FWHM [µm] SNR [dB] FWHM [µm]

Sinus 1-okres 42,9 37,5 38,6 37,5Golay 8-bit 45,5 22,5 44,2 37,5Golay 16-bit 50,4 22,5 48,0 30,0Golay 32-bit 53,6 22,5 52,6 30,0Barker 13-bit 46,7 30,0 44,9 30,0

5.2.2. Badania in vivo

Przeprowadzono takze badania jakosciowe in vivo porównujac subiektywniejakosc uzyskanego obrazowania. Na rys. 5.6 przedstawiono obrazy przekroju po-dłuznego naczynia w dłoni uzyskane przy pobudzeniu krótkim impulsem oraz 16-bitowym kodem Golaya przy czestotliwosci 20 MHz i 35 MHz 1. Zastosowanie ko-du Golaya pozwala uzyskac lepszy kontrast, mniejsze szumy oraz lepiej widocznegłebiej połozone struktury. Ponadto mozliwe stało sie obrazowanie z niemal dwu-krotnie wyzsza czestotliwoscia ultradzwieków (z 20 MHz na 35 MHz), czyli wiekszarozdzielczoscia i rozróznialnoscia szczegółów.

5.2.2.1. Porownanie z mikrosonografem z 1998

W drugiej połowie lat 1990 w ZU IPPT został opracowany pierwszy ultrasono-graf wysokiej czestotliwosci. Był to aparat analogowo-cyfrowy, z analogowym de-modulatorem i cyfrowa akwizycja sygnału wideo. Układ przetwarzania A/C praco-wał z czestotliwoscia 32 MHz i rozdzielczoscia 8 bitów. System tworzył obraz z 200linii, kazda linia sygnału składała sie z 256 próbek. Stosowane były przetworniki ul-tradzwiekowe z PZT oraz niobianu litu na czestotliwosc 32 MHz pobudzane krótki-mi impulsami (1–2 okresów). Oprogramowanie w srodowisku Windows realizowałoscan-converter i wyswietlanie obrazu.

Na rys. 5.7 zaczerpnietym z pracy Szymanskiej et al. [?] z 2000 r. pokazano obrazultrasonograficzny skóry z tego aparatu. Jakosc obrazu pozostawia wiele do zycze-nia. Widoczna jest stosunkowo niska rozdzielczosc podłuzna, słaba dynamika obra-zu oraz duza wielkosc pikseli spowodowana zastosowaniem najprostszej interpola-cji do najblizszego sasiada. Porównujac obrazy uzyskiwane z nowo opracowanegoaparatu (rys. 5.6) mozna stwierdzic postep jaki dokonał sie w jakosci obrazowania.

1Nie przedstawiono obrazu dla pobudzenia krótkim impulsem dla 35 MHz ze wzgledu na jego ni-ska jakosc.

http://rcin.org.pl

Page 152: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

152 5. Wyniki

Sinus 2 okresy 20 MHz, SNR = 30dB

Golay 16-bit 20 MHz, SNR = 38dB

Golay 16-bit 35 MHz, SNR = 32dB

Rysunek 5.6.: Obrazy w prezentacji B — przekrój podłuzny naczynia w nadgarstku;rozmiar pionowy obrazu 7,6 mm, proporcje obrazu 1:1

http://rcin.org.pl

Page 153: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

5.3. Zastosowania kliniczne 153

Rysunek 5.7.: Obraz skóry z poprzedniego modelu mikrosonografu z 2000 r.Podziałka co 1 mm. Zaznaczone warstwy skóry: E — naskórek, D — skóra własciwa, S —

tkanka podskórna

5.3. Zastosowania kliniczne

Opracowany ultrasonograf wysokiej czestotliwosci z transmisja kodowana zo-stał juz zastosowany do przeprowadzenia pierwszych badan klinicznych. Aplikacjew badaniach dermatologicznych oraz naczyniowych opisano ponizej.

Planowane sa takze kolejne zastosowania badawcze przy współudziale zaintere-sowanych jednostek:

• Szpital MSWiA — badanie zmian skórnych, w szczególnosci łuszczycy (łac.psoriasis).

• Instytut Onkologii — badanie i rozpoznawanie nowotworów skóry, w szcze-gólnosci czerniaka złosliwego (łac. melanoma malignum).

5.3.1. Badania cellulitu

Badania dotycza oceny skutecznosci działania produktu kosmetycznego i su-plementacji doustnej na skóre objeta cellulitem. Projekt jest prowadzony przezdr K. Mloska z Zakładu Diagnostyki Obrazowej Akademii Medycznej w Warszawieoraz pod patronatem Polskiego Towarzystwa Ultrasonograficznego.

W badaniu bierze udział 90 kobiet podzielonych na cztery grupy (3 grupy badanepo ok. 25-30 osób i 1 grupa placebo 15 osób) z zakwalifikowanym 2–3 stopniem cel-lulitu (co odpowiada zblednieciu skóry po ucisku i wystapienie tzw. „skórki poma-ranczowej” przy dotyku lub w spoczynku [145]). Całkowity czas badania wyniesie

http://rcin.org.pl

Page 154: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

154 5. Wyniki

12 tygodni i obejmie po 3 spotkania z kazda pacjentka.Dla badanego obszaru (okolica udowo-posladkowa) sa okreslane nastepujace

parametry:

1. Echogenicznosc skóry.

2. Grubosc skóry (wraz z tkanka podskórna).

3. USG skóry (skóra własciwa i naskórek).

4. Elastografia (elastycznosc poszczególnych warstw skóry i tkanki podskór-nej).

Parametry 1–3 sa okreslane za pomoca opracowanego ultrasonografu wraz z do-datkowym oprogramowaniem, parametr 4 ultrasonografem komercyjnym z funkcjaelastografii.

Dotychczasowe wyniki badan wskazuja na duza uzytecznosc i wysoka jakoscuzyskiwanego obrazu z opracowanego aparatu (rys. 5.8). Wstepne wyniki pomiarówwykazały duza korelacje pomiedzy stanem skóry a zmierzona echogenicznoscia, copozwala miec nadzieje na opracowanie metody oceny tej dolegliwosci.

5.3.2. Pomiary grubosci kompleksu intima-media

Ultrasonograficzna ocena struktury sciany naczyn krwionosnych pozwala nawykrywanie zaburzen morfologii i funkcji układu sercowo-naczyniowego, dziekiczemu umozliwia wczesne wykrywanie dysfunkcji układu krazenia takze u cho-rych bezobjawowych. Obecnie poszukuje sie wskazników, które ułatwia selekcjechorych lub osób o podwyzszonym ryzyku schorzen. Jednym z takich wskaznikówjest grubosc kompleksu sródbłonek–błona srodkowa naczynia — tzw. IMT (ang.intima-media thickness) bedaca cennym indykatorem ryzyka wystapienia incyden-tu sercowo-naczyniowego [62].

Pomiary IMT wykonywane standardowymi aparatami ultrasonograficznymiprzeprowadza sie na tetnicy szyjnej, m.in. ze wzgledu na ograniczona rozdzielczoscpodłuzna dostepna w tym zakresie czestotliwosci [113]. Dr R. Olszewski z Wojsko-wego Instytutu Medycznego zaproponował pomiar IMT w naczyniach palców (pa-liczkach). Wysoka rozdzielczosc opracowanego aparatu pozwala na pomiar naczynkrwionosnych o małych srednicach wystepujacych w palcach. Badanie paliczkówcharakteryzuje sie łatwym dostepem oraz dodatkowo umozliwia przeprowadzeniebadan wysiłkowych i reakcji czynnosciowych (np. na lokalna zmiane temperatury),które sa niemozliwe do wywołania na tetnicy szyjnej.

Wstepne rezultaty przeprowadzonych w laboratorium ZU badan (rys. 5.9) saobiecujace i planuje sie ich kontynuacje w warunkach klinicznych.

http://rcin.org.pl

Page 155: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

5.3. Zastosowania kliniczne 155

Przed kuracja

Po kuracji

Rysunek 5.8.: Cellulit 4 stopien. Przed kuracja (górny) — białe strzałki pokazuja wrastanietkanki podskórnej w skóre, szare strzałki pokazuja niewielki obrzek. Po kuracji (dolny) —

brak wrastania tkanki podskórnej w skóre własciwa, nie widac obrzeku

http://rcin.org.pl

Page 156: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

156 5. Wyniki

IMT

Rysunek 5.9.: Przekrój podłuzny naczynia w dłoni. Srednica naczynia w srodkowej czesciok. 1,1 mm, widoczna przy krawedzi naczynia warstwa intima-media wynosi ok. 0,13 mm

http://rcin.org.pl

Page 157: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

6

Podsumowanie

Główny cel pracy jakim była praktyczna realizacja techniki transmisji kodowanejw ultrasonografii w czasie rzeczywistym został osiagniety (rys. 6.1). Opracowana odpodstaw uniwersalna elektroniczna platforma akwizycji i cyfrowego przetwarzaniasygnałów umozliwiła implementacje techniki kodowanej w szerokim zakresie cze-stotliwosci ultradzwiekowych.

Ultrasonograf został juz zastosowany przez lekarzy do opracowania i badan no-wych metod diagnostycznych, co według autora jest najwiekszym osiagnieciemprojektu. Mozliwosc ewaluacji metody transmisji kodowanej przez lekarzy oraz im-plementacji nowych metod obrazowych na uniwersalnej platformie ultrasonografustanowi wyznacznik wartosci tego kilkuletniego projektu.

Projekt systemu został poprzedzony dogłebna analiza wymagan, dostepnychrozwiazan układów elektronicznych oraz srodków cyfrowego przetwarzania sy-gnałów. Przeanalizowano zakres zastosowan obrazowania ultradzwiekowego wyso-kiej czestotliwosci, jego ograniczenia i mozliwosci ich przezwyciezenia za pomo-ca transmisji kodowanej (rozdział 1). Nastepnie przedstawiono metode transmisjikodowanej i specyfike zwiazana z jej zastosowaniem w technice ultradzwiekowej(rozdział 2). Przed przystapieniem do projektowania systemu dokonano przegladudostepnych obecnie systemów cyfrowego przetwarzania sygnałów oraz zagadnienzwiazanych z przetwarzaniem A/C (rozdział 3). Nastepnie okreslono załozenia pro-jektowe, proponowana architekture systemu, a w koncu sam projekt systemu (roz-dział 4). Opracowany i zbudowany mikrosonograf został nastepnie poddany weryfi-kacji funkcjonalnej oraz testom wydajnosci (rozdział 5), które potwierdziły popraw-nosc jego działania. Zaprezentowano takze pierwsze badania kliniczne, w którychwykorzystano zbudowany aparat. W koncowej podsumowujacej czesci pracy przed-stawiono inne aplikacje systemu, mozliwosci jego dalszej rozbudowy i komercjali-zacji, a takze potencjalne zastosowania opracowanych technologii.

http://rcin.org.pl

Page 158: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

158 6. Podsumowanie

Rysunek 6.1.: Widok kompletnego systemu mikrosonografu

6.1. Systemy elektroniczne

Opracowane systemy elektroniczne i rozwiazania sprzetowe powstały w oparciuo najnowoczesniejsze układy i technologie elektroniczne. Dzieki temu, oraz dzie-ki przemyslanej architekturze systemu, zrealizowany moduł elektroniczny kodera-digitizera wykracza swoja funkcjonalnoscia poza pierwotnie przyjete załozenia. Wy-soka programowalnosc systemu pozwoli na jego rozbudowe o dodatkowe funkcje,a takze nowe zastosowania (opisane w dalszej czesci rozdziału) bez zmian sprzeto-wych w samym module. Ponadto know-how zdobyty podczas realizacji tego urza-dzenia pozwoli na budowe kolejnych bardziej złozonych systemów akwizycji, w tymsystemów wielokanałowych, które sa kolejnym celem badawczym naszego zespołu.

Zastosowanie w projekcie nowoczesnych programowalnych układów cyfrowychFPGA, pozwoliło na miniaturyzacje modułu kodera-digitizera, minimalizacje po-boru pradu oraz, co najwazniejsze, dało mozliwosc programowej zmiany funkcjo-nalnosci modułu z komputera sterujacego. Programowalnosc modułu umozliwiazmiane w trakcie pracy zarówno parametrów pracy systemu, a takze samego algo-rytmu nadawania i akwizycji. Daje to unikalna mozliwosc zmiany rodzaju nadawa-nych kodów w trakcie badania — od krótkich impulsów, przez pojedyncze sygnałykodowane do kodów wymagajacych podwójnej transmisji. Zastosowanie wysokiejjakosci cyfrowego generatora arbitralnego pozwala na generacje dowolnego kształ-tu sygnałów nadawczych, co zapewnia mozliwosc badan najszerszej mozliwej klasysygnałów kodowanych.

http://rcin.org.pl

Page 159: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

6.2. Systemy przetwarzania 159

Modułowa budowa systemu elektronicznego z wydzielonym uniwersalnym mo-dułem kodera-digitizera oraz analogowymi modułami wej./wyj. daje łatwa mozli-wosc adaptacji systemu do róznych czestotliwosci ultradzwiekowych, a takze doakwizycji innego rodzaju sygnałów w.cz.. Zakres czestotliwosci przetwarzania A/Cmodułu wynosi 20–200 MHz, co pokrywa wiekszosc praktycznych zastosowan ul-tradzwieków w medycynie i technice.

Zastosowany interfejs komunikacyjny USB 2.0 pomiedzy modułem a kompute-rem PC zapewnia duza predkosc przesyłania cyfrowych danych (do 40 MB/s) i moz-liwosci bezposredniego zasilania modułu z komputera. Niski pobór pradu modułuoraz specjalne tryby uspienia pozwalaja na budowe rozwiazan mobilnych i zasila-nych bateryjnie w oparciu o komputery przenosne.

Małe rozmiary i pobór pradu zrealizowanego modułu oraz niski koszt produkcjiposzerza zakres jego zastosowan i pozwala myslec o komercjalizacji rozwiazan nanim opartych.

6.2. Systemy przetwarzania

Druga równie wazna czescia opracowanego systemu ultrasonografu jest pod-system cyfrowej obróbki sygnału. Zapewnienie kompresji czasowej ech w czasierzeczywistym było podstawowym wymogiem stawianym praktycznemu systemowiimplementujacemu transmisje kodowana. Cel ten został osiagniety przez zastoso-wanie hybrydowej architektury przetwarzania wykorzystujacej moc obliczeniowajednostki centralnej (procesora CPU) oraz procesora graficznego GPU. Wydaje sie,ze tego typu hybrydowa architektura przetwarzania bedzie obowiazujaca w najbliz-szych latach.

Wydajnosc opracowanych algorytmów wielokrotnie przekroczyła załozone mi-nima, mozliwa do uzyskania predkosc transferu surowych danych w.cz. do kompu-tera, a nawet predkosc pracy dostepnej głowicy ultradzwiekowej. Daje to szanse naich dalsze zastosowanie w systemach wymagajacych znacznie wiekszej predkosciobrazowania np. obrazowaniu małych zwierzat.

Duze mozliwosci aplikacyjne stoja takze przed oprogramowaniem przetwarza-nia zaimplementowanym na procesorze GPU. Zrealizowane srodowisko progra-mowe pozwala na implementacje dodatkowych procedur przetwarzania sygnałówi obrazów (np. filtrów obrazowych). Sam algorytm scan-convertera zaimplemento-wany przez autora na procesorze GPU osiagnał predkosc przetwarzania przewyz-szajaca wszystkie znane z literatury rozwiazana sprzetowe. Metody przetwarzaniana GPU beda dalej rozwijane w naszym laboratorium, gdyz posiadaja ogromny po-tencjał obliczeniowy.

http://rcin.org.pl

Page 160: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

160 6. Podsumowanie

6.3. Rozbudowa i inne aplikacje systemu

O mozliwosciach rozbudowy oraz innych aplikacjach opracowanego systemudecyduje jego charakterystyka:

• Wysoki stopien programowalnosci modułu kodera-digitizera — układ FPGA,który moze byc reprogramowany w trakcie pracy z komputera.

• Wysoka predkosc strumieniowej transmisji danych surowych z modułu dokomputera.

• Bardzo duza wydajnosc cyfrowego przetwarzania sygnałów i wizualizacji da-nych.

Przedstawione mozliwosci nie sa czysto teoretyczne o czym swiadcza trzy noweaplikacje systemu zrealizowane do dnia dzisiejszego w Zakładzie Ultradzwieków:

1. Eksperymentalny system do obserwacji rozchodzenia sie fal poprzecznychw tkance za pomoca sondowania wiazka fal podłuznych z predkoscia 1000–10000 linii/sek. Akwizycja sygnałów w.cz. linii z taka predkoscia powtarza-nia nie jest mozliwa za pomoca standardowych oscyloskopów cyfrowych.Specjalizowane karty digitizerów, które pozwalaja na tego typu akwizycje sabardzo kosztowne. Zastosowanie modułu z odpowiednio zmodyfikowanymoprogramowaniem pozwoliło na realizacje tych unikatowych badan.

2. System akwizycji sygnału w.cz. z beamformera analogowego w zakresie cze-stotliwosci 5–10 MHz — do badan metod syntetycznej apertury. Akwizycjadanych z wielu kanałów odbywa sie w sposób sekwencyjny przez programo-wanie wybranych kanałów beamformera i rejestracje ech z pojedynczego wy-branego przetwornika. Moduł kodera-digitizera i beamformera został opro-gramowany tutaj za pomoca skryptów Python dzieki czemu mozliwa jest ła-twa modyfikacja schematu nadawania i akwizycji.

3. Skanujacy system obrazowania kosci w zakresie czestotliwosci 0,5–2 MHz.System ten bedzie słuzył do badan osteoporozy oraz nowych metod obra-zowania parametrycznego bazujacych na przetwarzaniu surowego sygnałuech w.cz.. Budowa tego urzadzenia jest analogiczna do pierwotnego mikro-ultrasonografu. Modyfikacji uległy układy analogowe oraz nieznacznie opro-gramowanie sterujace.

6.3.1. Rozbudowa systemu

Podstawowym przeznaczeniem zrealizowanego systemu ultrasonograficznegojest badanie i ewaluacja metod transmisji kodowanej. Jednak jego duze mozliwo-sci modyfikacji zarówno procesu nadawania i akwizycji sygnałów, jak i ich przetwa-

http://rcin.org.pl

Page 161: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

6.3. Rozbudowa i inne aplikacje systemu 161

rzania cyfrowego pozwalaja myslec o tym systemie jako o uniwersalnej platformiedo wdrazania nowych metod obrazowych. Najistotniejsza cecha platformy, któraotwiera droge do implementacji nowych metod obrazowych jest dostep do suro-wych danych w.cz. ech.

Ponizej przedstawiono przeglad interesujacych technik i algorytmów przetwa-rzania, które z technicznego punku widzenia moga zostac zaimplementowanena zbudowanej platformie mikrosonografu rozszerzajac lub modyfikujac jego obec-na funkcjonalnosc.

Adaptacyjna transmisja kodowana. W obecnym systemie istnieje mozliwosczmiany typu nadawanego kodu w trakcie pracy, ale wybrany jeden typ ko-du jest uzywany do tworzenia całego obrazu. Adaptacja polegałaby na zmia-nie sposobu nadawania (typu lub długosci kodu) w zaleznosci od pewnychparametrów (np. charakterystyki sygnału, głebokosci, etc.). Przykładowo: za-stosowanie długich kodów daje duzy zysk stosunku sygnał-szum potrzeb-ny do obrazowania głebiej połozonych struktur, ale jednoczesnie powodu-je powstanie duzej strefy martwej, która uniemozliwia obrazowanie bliskogłowicy. Z drugiej strony mała odległosc od głowicy jest zwiazana z niewiel-kim tłumieniem sygnału, wiec zastosowanie wzmacniajacego działania ko-dów jest niepotrzebne. Zastosowanie kodów o dwóch długosciach: krótkie-go do obrazowania blisko głowicy i długiego do głebiej połozonych strukturpozwoli pogodzic ze soba te sprzeczne wymagania. Oczywiscie nadawaniew dwóch strefach wymaga dwukrotnego nadawania kodów, wiec nieco ogra-nicza predkosc zbierania obrazów. Implementacja tego sposobu nadawaniajest mozliwa dzieki sprzetowemu sterowaniu procesu nadawania i akwizycjisygnałów opartej na programowalnym układzie FPGA.

Innym przykładem jest adaptacja filtracji dopasowanej po stronie odbiorczejuwzgledniajaca modyfikacje widma ech spowodowana tłumieniem. Zasto-sowanie estymatora przesuniecia czestotliwosci srodkowej ech z głebokosciai zastosowanie go do strojenia filtra dopasowanego jest mozliwe dzieki duzejmocy obliczeniowej systemu.

Automatyczna kontrola wzmocnienia i optymalizacja obrazu. Automatycznaoptymalizacja obrazu oraz nastaw ultrasonografu sa obecnie oferowanew wysokiej klasy aparatach. Dostepna duza moc przetwarzania cyfrowegoopracowanego systemu (procesor CPU+GPU) pozwala na realizacje al-gorytmów analizy sygnału w.cz. ech w czasie rzeczywistym. Przykłademoptymalizacji nastaw jest automatyczna kontrola ZRW (Zasiegowej Regu-lacji Wzmocnienia) [115, 83]. Na podstawie analizy sygnału ech moznaoszacowac energie sygnału oraz obliczyc wymagane wzmocnienie dla danej

http://rcin.org.pl

Page 162: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

162 6. Podsumowanie

głebokosci dla kazdej linii obrazu niezaleznie. Wyliczone krzywe korekcjiZRW sa przesyłane do układu FPGA, który dynamicznie steruje regulacjawzmocnienia w trakcie odbioru.

Obrazowanie harmoniczne — polega na nadawaniu na czestotliwosci podstawo-wej, a odbieraniu i obrazowaniu sygnału na czestotliwosci harmonicznej.W celu separacji sygnału harmonicznego od podstawowego stosuje sie dwielub wiecej sekwencje nadawcze (kody), a nastepnie przeprowadza sie su-mowanie lub odejmowanie odebranych od nich sygnałów ech [97]. Systemw obecnej postaci nadaje sie do implementacji tego typu obrazowania.

Obrazowanie parametryczne — polega na obrazowaniu innych parametrów tkan-ki, jak np.: tłumienia, elastycznosci (elastografia), ruchu (ang. tissue Dop-pler). Wiekszosc tych alternatywnych technik operuje na surowym sygnalew.cz. ech, który jest dostepny w mikrosonografie. Dostepna duza moc prze-twarzania pozwala na realizacje nawet bardzo złozonych obliczeniowo al-gorytmów (np. elastografii). Ponadto programowalny układ FPGA zapew-nia wsparcie sprzetowe niezbedne do niektórych technik wymagajacych ze-wnetrznej synchronizacji lub sterowania.

Automatyczna biometria. Pomiary biometryczne moga byc łatwo zaimplemento-wane w postaci dodatkowych aplikacji uzytkowych systemu. Pomiary dzie-limy na statyczne tj. realizowane na zamrozonym obrazie lub dynamicznerealizowane w trakcie procesu wyswietlania. W zakresie wysokich czestotli-wosci pomiary moga dotyczyc rozmiarów struktur narzadów np. oka [143],parametrów zmian chorobowych (np. wielkosc, objetosci guza) oraz naczynkrwionosnych (grubosc, kompleks intima-media).

Filtracja obrazu i redukcja ziarna. Stworzone oprogramowanie przetwarzaniai wizualizacji wykorzystuje procesor graficzny GPU do realizacji scan-convertera oraz umozliwia implementacje własnych filtrów obrazowych.Filtry moga słuzyc do poprawy jakosci obrazu, redukcji ziarna (speckle), seg-mentacji, rozpoznawania granic struktur, itp. Poza mozliwosciami operacjina pojedynczych liniach obrazowych oraz całym obrazie, dzieki dostepnosciduzej ilosci pamieci karty graficznej istnienie takze mozliwosc wykonywaniaoperacji na wielu obrazach np.:

• filtrów działajacych na kilku kolejnych obrazach (np. usrednianie z waga),

• sklejanie obrazów (np. z wielu sektorów, róznych głebokosci),

• nakładanie obrazów lub ich fragmentów (np. obrazu parametrycznego naprezentacje B),

Przykładem zaawansowanej funkcji, która moze byc zrealizowana na plat-formie mikrosonografu jest redukcja ziarna metoda składania czestotliwo-

http://rcin.org.pl

Page 163: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

6.3. Rozbudowa i inne aplikacje systemu 163

sci (ang. frequency compounding). Metoda ta polega na akwizycji obrazóww prezentacji B dla dwóch (lub wielu) róznych czestotliwosci nadawczych,a nastepnie na usrednieniu tych obrazów. Rozkład ziarna w obrazie jest funk-cja czestotliwosci, wiec w procesie usredniania echa od nieruchomych struk-tur dodaja sie konstruktywnie, natomiast ziarno zostaje wygładzone.

6.3.2. Inne aplikacje

Ponizej przedstawiono potencjalne nowe zastosowania opracowanego systemulub jego elementów.

Ultrasonografia standardowej czestotliwosci z transmisja kodowana. Pomimo,ze mikrosonograf został opracowany na zakres wysokich czestotliwosciultradzwieków moze byc łatwo zaadoptowany do czestotliwosci nizszych.Modyfikacji wymagaja jedynie analogowe układy wzmacniaczy wejscio-wych i wyjsciowych, sam moduł kodera-digitizera nie wymaga zadnychmodyfikacji.

Ultrasonografia wewnatrznaczyniowa — IVUS (ang. Intravascular Ultrasound)jest technika obrazowa, w której miniaturowy obrotowy przetwornik ultradz-wiekowy wprowadza sie bezposrednio do naczynia krwionosnego. Ze wzgle-du na małe rozmiary obiektu stosuje sie typowo wysokie czestotliwosci —powyzej 20 MHz. Zrealizowany mikrosonograf moze byc uzyty do tego zasto-sowania niemal od razu. Wymagane modyfikacje dotycza jedynie interfejsuelektrycznego do głowicy obrotowej oraz geometrii obrazu.

Ultrasonografia małych zwierzat. Ze wzgledu na ograniczona przepustowosc in-terfejsu USB obrazowanie małych zwierzat, które wymaga bardzo wysokichpredkosci akwizycji obrazu nie jest do zrealizowania przy uzyciu obecnegomodułu kodera-digitizera. Jednak samo oprogramowanie przetwarzania jestwystarczajaco wydajne do tego celu. Algorytm kompresji ech na wydajnymkomputerze PC moze pracowac z predkoscia do ok. 100 Hz. Sama funkcja wi-zualizacji ze scan-converterem moze pracowac z predkoscia ponad 500 Hz.

Defektoskopia. Moduł i oprogramowanie w obecnej postaci nadaja sie do bezpo-sredniej realizacji defektoskopu z transmisja kodowana. Modyfikacje sprze-towe dotycza jedynie czesci analogowej w celu dopasowania jej do stosowa-nego zakresu czestotliwosci i parametrów głowic. Zmiany w oprogramowa-niu obejmuja funkcjonalnosc wizualizacji przebiegów.

Akwizycja sygnałów w.cz. Moduł i oprogramowanie w obecnej postaci nadaja siedo realizacji tej funkcji. Specyficzne wymogi dotyczace kondycjonowania sy-

http://rcin.org.pl

Page 164: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

164 6. Podsumowanie

gnału analogowego oraz obróbki danych cyfrowych beda wymagały drob-nych zmian w czesci analogowej oraz oprogramowaniu.

6.3.3. Perspektywy rozwoju ultrasonografii wysokiej cz estotliwosci

W dalszej perspektywie rozwój ultrasonografii wysokiej czestotliwosci jest zwia-zany z zastosowaniem przetworników wieloelementowych — dotychczas zarezer-wowanych jedynie dla aplikacji w zakresie nizszych czestotliwosci. Pojawia sie corazwiecej prac dotyczacych konstrukcji i technologii wytwarzania wysoko czestotliwo-sciowych przetworników liniowych i pierscieniowych [124, 26, 122]. Duze nadzie-je sa tez zwiazane z zastosowaniem technologii mikroelektronicznej do produkcjiurzadzen elektro-mechanicznych tzw. MEMS (ang. Micro Electro-Mechanical Sys-tems) [105, 5].

Obecnie stosowane głowice sektorowe z jednoelementowym przetwornikiemmaja ograniczona strefe dobrego obrazowania wokół ogniska przetwornika. W tejsytuacji główna zaleta transmisji kodowanej, czyli zysk stosunku sygnał-szum dlagłebiej połozonych struktur nie moze byc optymalnie wykorzystany. Zastosowanieprzetwornika pierscieniowego z ogniskowaniem elektronicznym pozwoli na zwiek-szenie strefy ostrego obrazowania. Obecnie w ZU IPPT rozpoczeto prace majace nacelu zastosowanie 7-elementowego przetwornika w głowicy sektorowej. Potrzebnydo tego zadania nowy wielokanałowy system nadawania i akwizycji powstaje jakorozwiniecie przedstawionego w tej pracy rozwiazania.

6.4. Mozliwosci komercjalizacji ultrasonografu

W ostatnim rozdziale pracy przedstawiono porównanie opracowanego systemuz produktami dostepnymi na rynku oraz mozliwe sciezki jego komercjalizacji.

Opracowana platforma ultrasonografu z transmisja kodowana moze pracowaczarówno w zakresie czestotliwosci standardowych, jak i wysokich. Obecnie, we-dług wiedzy autora, nie sa dostepne komercyjne aparaty wysokiej czestotliwosciz funkcja transmisji kodowanej (przeglad aparatów przedstawiono w podrozdzia-le 1.3.2.2.). W zakresie standardowych czestotliwosci jako punkt do porównan wy-brano dostepne od niedawna na rynku zintegrowane głowice USB, które bazuja najednoelementowych przetwornikach ze skanowaniem mechanicznym. Konstrukcjatych zintegrowanych głowic USB jest najbardziej podobna do opracowanego syste-mu, gdyz wykorzystuja one interfejs USB do przesyłania danych cyfrowych z gło-wicy do komputera oraz wykorzystuja softwarowa obróbke i wizualizacje. Adapta-cja opracowanego mikrosonografu do wersji zintegrowanej głowicy USB wydaje siemozliwa i jest ciekawa opcja dla komercjalizacji opracowania.

http://rcin.org.pl

Page 165: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

6.4. Mozliwosci komercjalizacji ultrasonografu 165

6.4.1. Zintegrowane g lowice USB

W ostatnich kilku latach na rynku pojawiły sie proste i tanie "głowice USB" —czyli aparaty zintegrowane w całosci w głowicy i podłaczane do komputera przezinterfejs USB. Aparaty te składaja sie z jednoelementowego poruszanego mecha-nicznie przetwornika (głowica sektorowa), uproszczonej i zminiaturyzowanej elek-troniki oraz komputera PC z oprogramowaniem przetwarzania i wizualizacji. Przy-kładem tego typu rozwiazania jest opracowanie opisane w 2008 r. przez Richar-da i współpracowników [120], które dostepne jest z przetwornikami w zakresie 5–12,5 MHz i oferuje obrazowanie z predkoscia do 10 Hz. Opisywany aparat wykazu-je pewne podobienstwa techniczne z rozwiazaniem autora — m.in. ten sam układinterfejsu USB, softwarowa realizacja scan-convertera. Z drugiej strony wystepu-ja istotne róznice, które przyczyniaja sie do znacznego uproszczenia konstrukcjii zmniejszenia poboru mocy ale jednoczesnie ograniczaja to rozwiazanie — m.in.:

1. W rozwiazaniu Richarda digitalizowany jest sygnał po analogowym detek-torze obwiedni i wzmacniaczu logarytmicznym, co pozwala na zastoso-wanie 8-bitowego przetwarzania A/C o niskiej czestotliwosci próbkowania(30 MHz) ale uniemozliwia dostep do surowych danych w.cz. ech. Aparat niewspiera transmisji kodowanej.

2. Cyfrowy układ sterowania jest oparty na prostym układzie logicznym, którynie zapewnia tego stopnia programowalnosci, co układ FPGA zastosowanyprzez autora.

3. Aparat jest wyposazony w prosty układ nadajnika impulsowego, który po-zwala jedynie na generacje krótkich impulsów.

Zastosowane rozwiazania pozwoliły na ograniczenie mocy elektrycznej urzadzeniado ok. 1,25 W (co pozwala na zasilanie bezposrednio z USB) oraz miniaturyzacjepłytki drukowanej umieszczonej w głowicy do rozmiaru 23 mm na 86 mm.

Dwa inne komercyjne rozwiazania zintegrowanych "głowic USB" charakteryzu-jacych sie podobnymi parametrami i funkcjami, to:

1. NuWav Ultrasound (http://www.laborie.com) — na czestotliwosc 3,5–12 MHz.

2. Direct Medical Systems (http://www.innovasound.us) — na czestotliwosc3,5–5 MHz.

6.4.2. Optymalizacja systemu

W ponizszym punkcie przedstawiono modyfikacje systemu, które pozwoliłybyna dalsze ograniczenie rozmiarów, kosztów i pobieranej mocy w celu realizacji kon-cepcji zintegrowanej głowicy USB. Nalezy zaznaczyc, ze opisana ponizej adaptacja

http://rcin.org.pl

Page 166: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

166 6. Podsumowanie

mikrosonografu do wersji zintegrowanej wciaz bedzie wspierała transmisje kodo-wana (choc z ograniczeniem do kodów fazowych) oraz inne zaawansowane funkcjeprzetwarzania, które nie sa dostepne w przedstawionych rozwiazaniach komercyj-nych.

6.4.2.1. Minimalizacja poboru pr adu

Obecnie system mikrosonografu posiada własne zasilanie, poniewaz całkowitypobór pradu przekracza limit dostepny na złaczu USB (nominalnie 2,5 W). Sam mo-duł kodera-digitizera moze byc zasilany z portu USB — wymaga jedynie ok. 1,75 W.Ograniczenie całkowitego poboru mocy systemu do wielkosci 2,5 W wydaje siemozliwe przy zastosowaniu nastepujacych modyfikacji:

• Rezygnacja z liniowego wzmacniacza mocy na rzecz układu impulsowego.Wiaze sie to z ograniczeniem mozliwosci nadawania tylko kodów fazowych(Golay, Barker), ale w sposób znaczny redukuje pobór pradu.

• Zastosowanie przetwornika A/C o mniejszym poborze pradu i/lub o nizszejczestotliwosci próbkowania — dobranej do zastosowanej czestotliwosci ul-tradzwieków. Aktualnie stosowany przetwornik A/C pokrywa całe pasmo za-stosowan do wysokiej czestotliwosci włacznie ale wydziela do 0,5 W mocy.Obecnie na rynku pojawiły sie układy bardziej energooszczedne.

• Zastosowanie bardziej zaawansowanego zarzadzania energia przez wykorzy-stanie układów umozliwiajacych stan uspienia i oszczedzania energii.

6.4.2.2. Minimalizacja rozmiarow

Zrealizowany system mikrosonografu jest dosc kompaktowy (rys. 6.1), ale jegominiaturyzacja do wymaganego rozmiaru dla wersji zintegrowanej nie jest zada-niem łatwym. Zmiany majace na celu miniaturyzacje aparatu to:

• Miniaturyzacja układu mechanicznego i silnika napedowego oraz modyfika-cja obudowy głowicy w celu zapewnienia miejsca na płytke elektroniczna.

• Opracowanie nowej płytki elektronicznej aparatu:

– integracja modułów analogowych i cyfrowych na jednej płytce,

– miniaturyzacja przez zastosowanie układów w mniejszych obudowach(np. BGA, QFN),

– zwiekszenie gestosci upakowania elementów oraz montaz dwustronnyelementów na płytce.

http://rcin.org.pl

Page 167: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Schemat ideowy systemu

http://rcin.org.pl

Page 168: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

168 Schemat ideowy systemu

1 1

2 2

3 3

4 4

DD

CC

BB

AA

Title

Num

berR

evisionSize

A4

Date:

6/22/2008Sheet of

File:C

:\__PRO

J\..\USB

.schD

rawn B

y:

Y1

24MH

z

VC

C33

C2

12p

C3

12p

R2

2.2k

R3

2.2kR

510k

VC

C33C

7100n

C8

100nC

9100n

C10

100nC

11100n

C12

100n

C6

100n

Place bypass cap at each VC

C pin each IC

SCLSDA

FB3

C1

100n

IFCLK

+C

41u

VC

C33

R6

0+

C5

2.2u

RD

Y0/SLD

RR

DY

1/SLWR

CTL0/FLAGACTL1/FLAGBCTL2/FLAGC

PA0/INT0PA1/INT1

PA4/FIFOA0PA5/FIFOA1

PA2/SLOEPA3/WU2

PA6/PKTENDPA7/FLAGD/SLCS

SHELL

GN

D4

D+

3D

-2

VB

US

1C

ON

1

USB

-B

A0

1A

12

A2

3G

ND

4

VC

C8

WP

7SC

L6

SDA

5U

224C

64

FB2

FD0

FD1

FD2

FD3

FD4

FD5

FD6

FD7

PD0

PD1

PD2

PD3

PD4

PD5

PD6

PD7

WA

KEU

PC

LKO

UT

RESET

USB

2S3 - USB

Marcin Lew

andowski

2

R1

100k

CTL0/*FLAGA 29

CTL1/*FLAGB 30

CTL2/*FLAGC 31

VCC11

VCC17

VCC27

VCC32

VCC43

VCC55

AVCC3

GND12

GND26

GND28

GND41

GND53

GND56

AGND6

AVCC7

AGND10

RESER

VED

14

PD7/FD

1552

CLK

OU

T54

PB7/FD

725

PB6/FD

624

PB5/FD

523

PB4/FD

422

PD2/FD

1047

PD3/FD

1148

PD4/FD

1249

PD5/FD

1350

PD6/FD

1451

PA0/INT0 33

PA1/INT1 34

PA2/*SLOE 35

PA3/*WU2 36

PA4/FIFOADR0 37

PA5/FIFOADR1 38

PA6/*PKTEND 39

PA7/*FLAGD/SLCS 40

RESET

42

*WA

KEU

P44

PD0/FD

845

PD1/FD

946

RD

Y0/*SLR

D1

RD

Y1/*SLW

R2

XTA

LOU

T4

XTA

LIN5

DPLU

S8

DM

INU

S9

IFCLK

13

SCL 15SDA 16

PB0/FD

018

PB1/FD

119

PB2/FD

220

PB3/FD

321

GND-PAD57

U1

CY

7C68013A

-56LF

USB

VC

C

VDD 2SCLK

13

SDA

T12

XTALIN4

AVDD 14

GND 11

S2/SUSP

15

SD/O

E16

CLK

A10

XB

UF

6

AGND 3XTALOUT5

CLK

B9

CLK

C1

CLK

D7

CLK

E8

U3

CY

22393

VC

C33

C13

100n

C14

100n

Y210M

CLK

A

CLK

B

CLK

C

CLK

DSD

A

SCL

R9

33R

10

33R

1133

R8

33

R12

33

V1

V2

R4

33

CLK

ER

733

VC

C33

TP8TP9

TP5

TP1

TP4TP3

TP2TP6

PD5

P0C101 P0C102

P0C201 P0C202

P0C301 P0C302

P0C401 P0C402

P0C501 P0C502

P0C601 P0C602

P0C701 P0C702

P0C801 P0C802

P0C901 P0C902

P0C1001 P0C1002

P0C1101 P0C1102

P0C1201 P0C1202

P0C1301 P0C1302

P0C1401 P0C1402

P0CON101

P0CON102

P0CON103

P0CON104

P0CON105

P0FB201 P0FB202

P0FB301 P0FB302

P0R101 P0R102

P0R201 P0R202

P0R301 P0R302

P0R401

P0R402

P0R501 P0R502

P0R601

P0R602

P0R701

P0R702

P0R801

P0R802

P0R901

P0R902

P0R1001

P0R1002

P0R1101

P0R1102

P0R1201

P0R1202

P0TP101

P0TP201

P0TP301

P0TP401

P0TP501

P0TP601

P0TP801 P0TP901

P0U101

P0U102

P0U103

P0U104

P0U105

P0U106

P0U107

P0U108

P0U109

P0U1010

P0U1011

P0U1012

P0U1013

P0U1014 P0U1015

P0U1016

P0U1017

P0U1018

P0U1019

P0U1020

P0U1021

P0U1022

P0U1023

P0U1024

P0U1025

P0U1026

P0U1027

P0U1028

P0U1029

P0U1030

P0U1031

P0U1032

P0U1033

P0U1034

P0U1035

P0U1036

P0U1037

P0U1038

P0U1039

P0U1040

P0U1041

P0U1042

P0U1043

P0U1044

P0U1045

P0U1046

P0U1047

P0U1048

P0U1049

P0U1050

P0U1051

P0U1052

P0U1053

P0U1054

P0U1055

P0U1056

P0U1057

P0U201

P0U202

P0U203

P0U204

P0U205

P0U206

P0U207

P0U208

P0U301

P0U302

P0U303

P0U304

P0U305

P0U306

P0U307

P0U308

P0U309

P0U3010

P0U3011

P0U3012

P0U3013

P0U3014

P0U3015

P0U3016

P0V101 P0V102

P0V201 P0V202

P0Y101 P0Y102

P0Y201

P0Y202

P0R1101

N0CLKA P0R1001

N0CLKB

P0R901

N0CLKC

P0R801

N0CLKD P0R701

N0CLKE

P0R401

N0CLKOUT

P0TP401 P0U1029 N0CTL0/FLAGA

P0TP101 P0U1030 N0CTL1/FLAGB

P0TP501 P0U1031 N0CTL2/FLAGC

P0U1018

N0FD0

P0U1019

N0FD1

P0U1020

N0FD2

P0U1021

N0FD3

P0U1022

N0FD4

P0U1023

N0FD5

P0U1024

N0FD6

P0U1025

N0FD7

P0C102

P0C201

P0C301

P0C402

P0C502

P0C602

P0C702

P0C802

P0C902

P0C1002

P0C1102

P0C1202

P0C1301

P0C1401

P0FB202

P0FB302

P0R502

P0U106

P0U1010

P0U1012

P0U1026

P0U1028

P0U1041

P0U1053

P0U1056

P0U1057

P0U201

P0U202

P0U203

P0U204

P0U207

P0U303

P0U3011

P0V102

P0V202

P0R1202

N0IFCLK

P0C202

P0U104

P0Y102

P0C302

P0U105

P0Y101

P0C501

P0C601

P0R602

P0U103

P0U107

P0CON102

P0U109

P0V201

P0CON103

P0U108

P0V101

P0CON104

P0FB201

P0CON105

P0FB301

P0R402

P0U1054

P0R501

P0U1014

P0R702

P0U308

P0R802

P0U307

P0R902

P0U301

P0R1002

P0U309

P0R1102

P0U3010

P0R1201

P0U1013

P0U304

P0Y202

P0U305

P0Y201

P0U306

P0U1033 N0PA0/INT0

P0U1034 N0PA1/INT1

P0U1035 N0PA2/SLOE

P0U1036 N0PA3/WU2

P0TP201 P0U1037 N0PA4/FIFOA0

P0TP601 P0U1038 N0PA5/FIFOA1

P0U1039 N0PA6/PKTEND

P0TP301 P0U1040 N0PA7/FLAGD/SLCS

P0U1045

N0PD0

P0U1046

N0PD1

P0U1047

N0PD2

P0U1048

N0PD3

P0U1049

N0PD4

P0U1050

P0U3016

N0PD5

N0PD5

P0U1051

N0PD6

P0U1052

N0PD7

P0TP801

P0U101

N0RDY0/SLDR

P0TP901

P0U102

N0RDY1/SLWR

P0C401 P0R102

P0U1042

N0RESET

P0R302 P0U1015

P0U206

P0U3013

N0SCL

N0SCL

P0R202 P0U1016

P0U205

P0U3012

N0SDA

N0SDA

P0C101

P0CON101

N0USBVCC

P0C701

P0C801

P0C901

P0C1001

P0C1101

P0C1201

P0C1302

P0C1402

P0R101

P0R201

P0R301

P0R601

P0U1011

P0U1017

P0U1027

P0U1032

P0U1043

P0U1055

P0U208

P0U302

P0U3014

P0U3015

P0U1044

N0WAKEUP

P0C101 P0C102

P0C201 P0C202

P0C301 P0C302

P0C401 P0C402

P0C501 P0C502

P0C601 P0C602

P0C701 P0C702

P0C801 P0C802

P0C901 P0C902

P0C1001 P0C1002

P0C1101 P0C1102

P0C1201 P0C1202

P0C1301 P0C1302

P0C1401 P0C1402

P0CON101

P0CON102

P0CON103

P0CON104

P0CON105

P0FB201 P0FB202

P0FB301 P0FB302

P0R101 P0R102

P0R201 P0R202

P0R301 P0R302

P0R401

P0R402

P0R501 P0R502

P0R601

P0R602

P0R701

P0R702

P0R801

P0R802

P0R901

P0R902

P0R1001

P0R1002

P0R1101

P0R1102

P0R1201

P0R1202

P0TP101

P0TP201

P0TP301

P0TP401

P0TP501

P0TP601

P0TP801 P0TP901

P0U101

P0U102

P0U103

P0U104

P0U105

P0U106

P0U107

P0U108

P0U109

P0U1010

P0U1011

P0U1012

P0U1013

P0U1014 P0U1015

P0U1016

P0U1017

P0U1018

P0U1019

P0U1020

P0U1021

P0U1022

P0U1023

P0U1024

P0U1025

P0U1026

P0U1027

P0U1028

P0U1029

P0U1030

P0U1031

P0U1032

P0U1033

P0U1034

P0U1035

P0U1036

P0U1037

P0U1038

P0U1039

P0U1040

P0U1041

P0U1042

P0U1043

P0U1044

P0U1045

P0U1046

P0U1047

P0U1048

P0U1049

P0U1050

P0U1051

P0U1052

P0U1053

P0U1054

P0U1055

P0U1056

P0U1057

P0U201

P0U202

P0U203

P0U204

P0U205

P0U206

P0U207

P0U208

P0U301

P0U302

P0U303

P0U304

P0U305

P0U306

P0U307

P0U308

P0U309

P0U3010

P0U3011

P0U3012

P0U3013

P0U3014

P0U3015

P0U3016

P0V101 P0V102

P0V201 P0V202

P0Y101 P0Y102

P0Y201

P0Y202

N0CLKA P0R1101

N0CLKB P0R1001

N0CLKC P0R901

N0CLKD P0R801

N0CLKE P0R701

N0CLKOUT

P0R401

N0CTL0/FLAGA P0TP401

P0U1029

N0CTL1/FLAGB P0TP101

P0U1030

N0CTL2/FLAGC P0TP501

P0U1031

N0FD0

P0U1018

N0FD1

P0U1019

N0FD2

P0U1020

N0FD3

P0U1021

N0FD4

P0U1022

N0FD5

P0U1023

N0FD6

P0U1024

N0FD7

P0U1025

P0C102

P0C201

P0C301

P0C402

P0C502

P0C602

P0C702

P0C802

P0C902

P0C1002

P0C1102

P0C1202

P0C1301

P0C1401

P0FB202

P0FB302

P0R502

P0U106

P0U1010

P0U1012

P0U1026

P0U1028

P0U1041

P0U1053

P0U1056

P0U1057

P0U201

P0U202

P0U203

P0U204

P0U207

P0U303

P0U3011

P0V102

P0V202

N0IFCLK

P0R1202

P0C202

P0U104

P0Y102

P0C302

P0U105

P0Y101

P0C501

P0C601

P0R602

P0U103

P0U107

P0CON102

P0U109

P0V201

P0CON103

P0U108

P0V101

P0CON104

P0FB201

P0CON105

P0FB301

P0R402

P0U1054

P0R501

P0U1014

P0R702

P0U308

P0R802

P0U307

P0R902

P0U301

P0R1002

P0U309

P0R1102

P0U3010

P0R1201

P0U1013

P0U304

P0Y202

P0U305

P0Y201

P0U306

N0PA0/INT0 P0U1033

N0PA1/INT1 P0U1034

N0PA2/SLOE P0U1035

N0PA3/WU2 P0U1036

N0PA4/FIFOA0 P0TP201

P0U1037

N0PA5/FIFOA1 P0TP601

P0U1038

N0PA6/PKTEND P0U1039

N0PA7/FLAGD/SLCS P0TP301

P0U1040

N0PD0

P0U1045

N0PD1

P0U1046

N0PD2

P0U1047

N0PD3

P0U1048

N0PD4

P0U1049

N0PD5

P0U1050

P0U3016

N0PD6

P0U1051

N0PD7

P0U1052

N0RDY0/SLDR

P0TP801

P0U101

N0RDY1/SLWR

P0TP901

P0U102

N0RESET

P0C401 P0R102

P0U1042 N0SCL

P0R302 P0U1015

P0U206

P0U3013

N0SDA P0R202

P0U1016

P0U205

P0U3012

N0USBVCC

P0C101

P0CON101

P0C701

P0C801

P0C901

P0C1001

P0C1101

P0C1201

P0C1302

P0C1402

P0R101

P0R201

P0R301

P0R601

P0U1011

P0U1017

P0U1027

P0U1032

P0U1043

P0U1055

P0U208

P0U302

P0U3014

P0U3015

N0WAKEUP

P0U1044

Rysu

nek

2.:Schem

atideow

ym

od

ułu

kod

era-digitizera

—u

kładin

terfejsuU

SB

http://rcin.org.pl

Page 169: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

169

11

22

33

44

DD

CC

BB

AA

Title

Num

ber

Rev

isio

nSi

ze A4

Dat

e:6/

22/2

008

Shee

t o

fFi

le:

C:\_

_PR

OJ\

..\FP

GA

.sch

Dra

wn

By:

FD0

VC

C25

FD1

FD2

FD3

FD4

FD5

FD6

FD7

PD1

PD0

PD2

PD3

PD4

RD

Y0/

SLD

RR

DY

1/SL

WR

CLK

OU

TIF

CLK

CTL

0/FL

AG

A

CTL

1/FL

AG

B

CTL

2/FL

AG

C

PA2/

SLO

EPA

3/W

U2

PA0/

INT0

PA1/

INT1

PA4/

FIFO

A0

PA5/

FIFO

A1

PA6/

PKTE

ND

PA7/

FLA

GD

/SLC

S

USB

2S3

- FPG

A

Mar

cin

Lew

ando

wsk

i

2

VC

C12

TMS111

IO_LO1P_7/VRN_71

IO_L01N_7/VRP_72

IO_7/VREF_74

IO_L20P_75

IO_L20N_76

IO_L21N_78

IO_L22P_710

IO_L22N_711

IO_L23P_712

IO_L24P_714

IO_L24N_715

VCCAUX 48

IO_L40P_717

IO_L40N_7/VREF_718

IO_L40P_6/VREF_620

IO_L40N_621

IO_L24N_6/VREF_624

IO_L23P_625

IO_L23N_626

IO_L22P_627

IO_L22N_628

IO_L21P_630

IO_L21N_631

GND 42

M239

IO_L20P_632

IO_L20N_633

IO_L01P_6/VRN_635

IO_L01N_6/VRP_636

IO_L

01P_

5/C

S_B

40

IO_L

01N

_5/R

DW

R_B

41

IO_5

/VR

EF_5

44

VCCAUX 62

IO_L

28P_

5/D

746

IO_L

28N

_5/D

647

IO_L

31P_

5/D

550

IO_L

31N

_5/D

451

VCCAUX 120

GND 64

IO_L

32P_

5/G

CLK

252

IO_L

32N

_5/G

CLK

353

IO_L

32P_

4/G

CLK

055

IO_L

32N

_4/G

CLK

156

IO_L

31P_

4/D

OU

T/B

USY

57

GND 67

IO_L

31N

_4/IN

IT_B

58

IO_L

30P_

4/D

359

IO_L

30N

_4/D

260

IO_L

27P_

4/D

163

IO_L

27N

_4/D

IN/D

065

IO_L

01P_

4/V

RN

_468

IO_L

01N

_4/V

RP_

469

VCCO_RIGHT 91

IO_4

/VR

EF_4

70

IO_L

01P_

3/V

RN

_373

IO_L

01N

_3/V

RP_

374

IO_3

76

IO_L

20P_

377

IO_L

20N

_378

IO_L

21P_

379

IO_L

22P_

382

IO_L

22N

_383

IO_L

23P_

3/V

REF

_384

IO_L

23N

_385

IO_L

24P_

386

VCCINT 49

GND 94

IO_L

40N

_3/V

REF

_390

IO_L

40P_

2/V

REF

_292

IO_L

40N

_293

IO_L

24P_

295

GND 101

IO_L

23N

_2/V

REF

_298

IO_L

22P_

299

IO_L

22N

_210

0

VCCO_LEFT 3VCCO_LEFT 19VCCO_LEFT 34VCCO_BOTTOM 43

TDO109 TDI144

IO_L

21P_

210

2IO

_L21

N_2

103

IO_L

20P_

210

4IO

_L20

N_2

105

IO_L

01P_

2/V

RN

_210

7IO

_L01

N_2

/VR

P_2

108

IO_L

01P_

1/V

RN

_111

2

VCCINT 61

IO_L

01N

_1/V

RP_

111

3IO

_111

6IO

_L28

P_1

118

IO_L

28N

_111

9

IO_L

01P_

0/V

RN

_014

0

IO_L

27N

_013

7

VCCINT 121

IO_L

31N

_1/V

REF

_112

3IO

_L32

P_1/

GC

LK4

124

IO_L

32N

_1/G

CLK

512

5IO

_L32

P_0/

GC

LK6

127

GND 139

IO_L

32N

_0/G

CLK

712

8IO

_L31

P_0/

VR

EF_0

129

IO_L

31N

_013

0IO

_L30

P_0

131

IO_L

30N

_013

2IO

_L27

P_0

135

GND 9

IO_L21P_77

GND 16

IO_L23N_713

GND 22

VCCO_BOTTOM 54

IO_L24P_623

GND 29

M137 M038

VCCO_BOTTOM 66

GND 45

IO_L

01N

_0/V

RP_

014

1

VCCO_RIGHT 75

VCCAUX 134

GND 81

DO

NE

71

PRO

G_B

143

GND 88

IO_L

21N

_380

IO_L

24N

_387

VCCO_RIGHT 106

IO_L

40P_

389

IO_L

24N

_296

IO_L

23P_

297

CC

LK72

VCCO_TOP 115

GND 114GND 117

IO_L

31P_

112

2

GND 136

VCCO_TOP 126

VCCINT 133

TCK110

VCCO_TOP 138

HSW

AP_

EN14

2

U4

XC

3S-T

Q14

4R

19

4.7k

R16

100

R18

100

R17

100

VC

C25

R14

4.7k

VC

C25 R

1510

0

VC

C25

R21

0

Enable IO pull-ups

R20

4.7k

CLK

AC

LKB

CLK

CC

LKD

VC

C25

VC

C33

DA

C1_

D13

DA

C1_

D12

DA

C1_

D11

DA

C1_

D10

DA

C1_

D9

DA

C1_

D8

DA

C1_

D7

DA

C1_

D6

DA

C1_

D5

DA

C1_

D4

DA

C1_

D3

DA

C1_

D2

DA

C1_

D1

DA

C1_

D0

DA

C2_

D13

DA

C2_

D12

DA

C2_

D11

DA

C2_

D10

DA

C2_

D9

DA

C2_

D8

DA

C2_

D7

DA

C2_

D6

DA

C2_

D5

DA

C2_

D4

DA

C2_

D3

DA

C2_

D2

DA

C2_

D1

D0ND0PD1ND1PD2ND2PD3ND3PD4ND4PD5ND5PD6ND6PD7ND7PD8ND8PD9ND9PD10ND10PD11ND11PORNORP

DA

C1_

CLK

DA

C2_

CLK

CLKPCLKN

DC

LKP

DC

LKN

SDA

SCL

IO14

1IO

140

IO13

7IO

135

IO13

2IO

131

IO13

0IO

129

IO14

1IO

140

IO13

7IO

135

IO13

2IO

131

IO13

0IO

129

CLK

E

VC

C33

12

34

56

78

910

1112

1314

1516

1718

1920

CO

N2

HEA

DER

10X

2

P0CON201

P0CON202

P0CON203

P0CON204

P0CON205

P0CON206

P0CON207

P0CON208

P0CON209

P0CON2010

P0CON2011

P0CON2012

P0CON2013

P0CON2014

P0CON2015

P0CON2016

P0CON2017

P0CON2018

P0CON2019

P0CON2020

P0R1401 P0R1402

P0R1501

P0R1502

P0R1601

P0R1602

P0R1701

P0R1702

P0R1801

P0R1802

P0R1901 P0R1902

P0R2001 P0R2002

P0R2101 P0R2102

P0U401

P0U402

P0U403

P0U404

P0U405

P0U406

P0U407

P0U408

P0U409

P0U4010

P0U4011

P0U4012

P0U4013

P0U4014

P0U4015

P0U4016

P0U4017

P0U4018

P0U4019

P0U4020

P0U4021

P0U4022

P0U4023

P0U4024

P0U4025

P0U4026

P0U4027

P0U4028

P0U4029

P0U4030

P0U4031

P0U4032

P0U4033

P0U4034

P0U4035

P0U4036

P0U4037

P0U4038

P0U4039 P0U4040

P0U4041

P0U4042

P0U4043

P0U4044

P0U4045

P0U4046

P0U4047

P0U4048

P0U4049

P0U4050

P0U4051

P0U4052

P0U4053

P0U4054

P0U4055

P0U4056

P0U4057

P0U4058

P0U4059

P0U4060

P0U4061

P0U4062

P0U4063

P0U4064

P0U4065

P0U4066

P0U4067

P0U4068

P0U4069

P0U4070

P0U4071

P0U4072

P0U4073

P0U4074

P0U4075

P0U4076

P0U4077

P0U4078

P0U4079

P0U4080

P0U4081

P0U4082

P0U4083

P0U4084 P0U4085

P0U4086

P0U4087

P0U4088

P0U4089 P0U4090

P0U4091

P0U4092

P0U4093

P0U4094

P0U4095

P0U4096

P0U4097 P0U4098

P0U4099

P0U40100

P0U40101

P0U40102

P0U40103

P0U40104

P0U40105

P0U40106

P0U40107

P0U40108

P0U40109

P0U40110

P0U40111

P0U40112

P0U40113

P0U40114

P0U40115

P0U40116

P0U40117

P0U40118

P0U40119

P0U40120

P0U40121

P0U40122

P0U40123

P0U40124

P0U40125

P0U40126

P0U40127

P0U40128

P0U40129

P0U40130

P0U40131

P0U40132

P0U40133

P0U40134

P0U40135

P0U40136

P0U40137

P0U40138

P0U40139

P0U40140

P0U40141

P0U40142

P0U40143

P0U40144

P0U4052

N0CLKA

P0U4053

N0CLKB

P0U40124

N0CLKC

P0U40125

N0CLKD

P0CON2017

N0CLKE

P0U402 N0CLKN

P0U4056

N0CLKOUT

P0U401 N0CLKP

P0U4044

N0CTL0/FLAGA

P0U4057

N0CTL1/FLAGB

P0U4070

N0CTL2/FLAGC

P0U4036 N0D0N

P0U4035 N0D0P

P0U4033 N0D1N

P0U4032 N0D1P

P0U4031 N0D2N

P0U4030 N0D2P

P0U4028 N0D3N

P0U4027 N0D3P

P0U4026 N0D4N

P0U4025 N0D4P

P0U4024 N0D5N

P0U4023 N0D5P

P0U4021 N0D6N

P0U4020 N0D6P

P0U4018 N0D7N

P0U4017 N0D7P

P0U4015 N0D8N

P0U4014 N0D8P

P0U4013 N0D9N

P0U4012 N0D9P

P0U4011 N0D10N

P0U4010 N0D10P

P0U408 N0D11N

P0U407 N0D11P

P0U40108

N0DAC10CLK

P0U4090

N0DAC10D0

P0U4092

N0DAC10D1

P0U4093

N0DAC10D2

P0U4095

N0DAC10D3

P0U4096

N0DAC10D4

P0U4097

N0DAC10D5

P0U4098

N0DAC10D6

P0U4099

N0DAC10D7

P0U40100

N0DAC10D8

P0U40102

N0DAC10D9

P0U40103

N0DAC10D10

P0U40104

N0DAC10D11

P0U40105

N0DAC10D12

P0U40107

N0DAC10D13

P0U4089

N0DAC20CLK

P0U4073

N0DAC20D1

P0U4074

N0DAC20D2

P0U4076

N0DAC20D3

P0U4077

N0DAC20D4

P0U4078

N0DAC20D5

P0U4079

N0DAC20D6

P0U4080

N0DAC20D7

P0U4082

N0DAC20D8

P0U4083

N0DAC20D9

P0U4084

N0DAC20D10

P0U4085

N0DAC20D11

P0U4086

N0DAC20D12

P0U4087

N0DAC20D13

P0U40128

N0DCLKN

P0U40127

N0DCLKP

P0U4065

N0FD0

P0U4063

N0FD1

P0U4060

N0FD2

P0U4059

N0FD3

P0U4051

N0FD4

P0U4050

N0FD5

P0U4047

N0FD6

P0U4046

N0FD7

P0CON203

P0CON207

P0CON2011

P0CON2015

P0CON2019

P0CON2020

P0R2102

P0U409

P0U4016

P0U4022

P0U4029

P0U4038

P0U4042

P0U4045

P0U4064

P0U4067

P0U4081

P0U4088

P0U4094

P0U40101

P0U40114

P0U40117

P0U40136

P0U40139

P0U4055

N0IFCLK

P0CON2018

P0U40129

N0IO129

N0IO129

P0CON2016

P0U40130

N0IO130

N0IO130

P0CON2014

P0U40131

N0IO131

N0IO131

P0CON2012

P0U40132

N0IO132

N0IO132

P0CON2010

P0U40135

N0IO135

N0IO135

P0CON208

P0U40137

N0IO137

N0IO137

P0CON206

P0U40140

N0IO140

N0IO140

P0CON204

P0U40141

N0IO141

N0IO141

P0CON2013

P0R1401

P0R1501

P0U4058

P0R1601

P0U4072

P0R1701

P0R2001

P0U4071

P0R1801

P0R1901

P0U40143

P0R2101

P0U40142

P0U404

P0U40109

P0U40110

P0U40111

P0U40144

P0U406 N0ORN

P0U405 N0ORP

P0U40112

N0PA0/INT0

P0U40113

N0PA1/INT1

P0U40116

N0PA2/SLOE

P0U40118

N0PA3/WU2

P0U40119

N0PA4/FIFOA0

P0U40122

N0PA5/FIFOA1

P0U40123

N0PA6/PKTEND

P0U4041

N0PA7/FLAGD/SLCS

P0R1602 N0PD0

P0R1702 N0PD1

P0R1802 N0PD2

P0R1502

N0PD3

P0U4040

N0PD4

P0U4069

N0RDY0/SLDR

P0U4068

N0RDY1/SLWR

P0CON209

N0SCL

P0CON205

N0SDA

P0U4049

P0U4061

P0U40121

P0U40133

P0R1402

P0R1902

P0R2002

P0U403

P0U4019

P0U4034

P0U4037

P0U4039

P0U4048

P0U4062

P0U40115

P0U40120

P0U40126

P0U40134

P0U40138

P0CON201

P0CON202

P0U4043

P0U4054

P0U4066

P0U4075

P0U4091

P0U40106

P0CON201

P0CON202

P0CON203

P0CON204

P0CON205

P0CON206

P0CON207

P0CON208

P0CON209

P0CON2010

P0CON2011

P0CON2012

P0CON2013

P0CON2014

P0CON2015

P0CON2016

P0CON2017

P0CON2018

P0CON2019

P0CON2020

P0R1401 P0R1402

P0R1501

P0R1502

P0R1601

P0R1602

P0R1701

P0R1702

P0R1801

P0R1802

P0R1901 P0R1902

P0R2001 P0R2002

P0R2101 P0R2102

P0U401

P0U402

P0U403

P0U404

P0U405

P0U406

P0U407

P0U408

P0U409

P0U4010

P0U4011

P0U4012

P0U4013

P0U4014

P0U4015

P0U4016

P0U4017

P0U4018

P0U4019

P0U4020

P0U4021

P0U4022

P0U4023

P0U4024

P0U4025

P0U4026

P0U4027

P0U4028

P0U4029

P0U4030

P0U4031

P0U4032

P0U4033

P0U4034

P0U4035

P0U4036

P0U4037

P0U4038

P0U4039 P0U4040

P0U4041

P0U4042

P0U4043

P0U4044

P0U4045

P0U4046

P0U4047

P0U4048

P0U4049

P0U4050

P0U4051

P0U4052

P0U4053

P0U4054

P0U4055

P0U4056

P0U4057

P0U4058

P0U4059

P0U4060

P0U4061

P0U4062

P0U4063

P0U4064

P0U4065

P0U4066

P0U4067

P0U4068

P0U4069

P0U4070

P0U4071

P0U4072

P0U4073

P0U4074

P0U4075

P0U4076

P0U4077

P0U4078

P0U4079

P0U4080

P0U4081

P0U4082

P0U4083

P0U4084 P0U4085

P0U4086

P0U4087

P0U4088

P0U4089 P0U4090

P0U4091

P0U4092

P0U4093

P0U4094

P0U4095

P0U4096

P0U4097 P0U4098

P0U4099

P0U40100

P0U40101

P0U40102

P0U40103

P0U40104

P0U40105

P0U40106

P0U40107

P0U40108

P0U40109

P0U40110

P0U40111

P0U40112

P0U40113

P0U40114

P0U40115

P0U40116

P0U40117

P0U40118

P0U40119

P0U40120

P0U40121

P0U40122

P0U40123

P0U40124

P0U40125

P0U40126

P0U40127

P0U40128

P0U40129

P0U40130

P0U40131

P0U40132

P0U40133

P0U40134

P0U40135

P0U40136

P0U40137

P0U40138

P0U40139

P0U40140

P0U40141

P0U40142

P0U40143

P0U40144

P0U4052

P0U4053

P0U40124

P0U40125

P0CON2017

N0CLKN P0U402

P0U4056

N0CLKP P0U401

P0U4044

P0U4057

P0U4070

N0D0N P0U4036

N0D0P P0U4035

N0D1N P0U4033

N0D1P P0U4032

N0D2N P0U4031

N0D2P P0U4030

N0D3N P0U4028

N0D3P P0U4027

N0D4N P0U4026

N0D4P P0U4025

N0D5N P0U4024

N0D5P P0U4023

N0D6N P0U4021

N0D6P P0U4020

N0D7N P0U4018

N0D7P P0U4017

N0D8N P0U4015

N0D8P P0U4014

N0D9N P0U4013

N0D9P P0U4012

N0D10N P0U4011

N0D10P P0U4010

N0D11N P0U408

N0D11P P0U407

N0DAC10CLK

P0U40108

N0DAC10D0

P0U4090

N0DAC10D1

P0U4092

N0DAC10D2

P0U4093

N0DAC10D3

P0U4095

N0DAC10D4

P0U4096

N0DAC10D5

P0U4097

N0DAC10D6

P0U4098

N0DAC10D7

P0U4099

N0DAC10D8

P0U40100

N0DAC10D9

P0U40102

N0DAC10D10

P0U40103

N0DAC10D11

P0U40104

N0DAC10D12

P0U40105

N0DAC10D13

P0U40107

N0DAC20CLK

P0U4089

N0DAC20D1

P0U4073

N0DAC20D2

P0U4074

N0DAC20D3

P0U4076

N0DAC20D4

P0U4077

N0DAC20D5

P0U4078

N0DAC20D6

P0U4079

N0DAC20D7

P0U4080

N0DAC20D8

P0U4082

N0DAC20D9

P0U4083

N0DAC20D10

P0U4084

N0DAC20D11

P0U4085

N0DAC20D12

P0U4086

N0DAC20D13

P0U4087

N0DCLKN

P0U40128

N0DCLKP

P0U40127

P0U4065

P0U4063

P0U4060

P0U4059

P0U4051

P0U4050

P0U4047

P0U4046

P0CON203

P0CON207

P0CON2011

P0CON2015

P0CON2019

P0CON2020

P0R2102

P0U409

P0U4016

P0U4022

P0U4029

P0U4038

P0U4042

P0U4045

P0U4064

P0U4067

P0U4081

P0U4088

P0U4094

P0U40101

P0U40114

P0U40117

P0U40136

P0U40139

P0U4055

N0IO129

P0CON2018

P0U40129

N0IO130

P0CON2016

P0U40130

N0IO131

P0CON2014

P0U40131

N0IO132

P0CON2012

P0U40132

N0IO135

P0CON2010

P0U40135

N0IO137

P0CON208

P0U40137

N0IO140

P0CON206

P0U40140

N0IO141

P0CON204

P0U40141

P0CON2013

P0R1401

P0R1501

P0U4058

P0R1601

P0U4072

P0R1701

P0R2001

P0U4071

P0R1801

P0R1901

P0U40143

P0R2101

P0U40142

P0U404

P0U40109

P0U40110

P0U40111

P0U40144

N0ORN P0U406

N0ORP P0U405

P0U40112

P0U40113

P0U40116

P0U40118

P0U40119

P0U40122

P0U40123

P0U4041

P0R1602

P0R1702

P0R1802

P0R1502

P0U4040

P0U4069

P0U4068

P0CON209

P0CON205

P0U4049

P0U4061

P0U40121

P0U40133

P0R1402

P0R1902

P0R2002

P0U403

P0U4019

P0U4034

P0U4037

P0U4039

P0U4048

P0U4062

P0U40115

P0U40120

P0U40126

P0U40134

P0U40138

P0CON201

P0CON202

P0U4043

P0U4054

P0U4066

P0U4075

P0U4091

P0U40106

Rys

un

ek3.

:Sch

emat

ideo

wy

mo

du

łuko

der

a-d

igit

izer

a—

ukł

adF

PG

A

http://rcin.org.pl

Page 170: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

170 Schemat ideowy systemu

1 1

2 2

3 3

4 4

DD

CC

BB

AA

Title

Num

berR

evisionSize

A4

Date:

6/22/2008Sheet of

File:C

:\__PRO

J\..\AD

C.sch

Draw

n By:

VC

C18

C71100n

C72100n

C81100n

C82100n

C83100n

C65

100n

FB7

0

+C

6722u

USB

2S3 - AD

C

Marcin Lew

andowski

2

R51

100

CLK

+22

VIN

-9

D5+

40

CLK

DIV

17

D4+

38

D5-

39

D6-

46

D0-

29

D0+

30

D1-

31

D1+

32

D2-

33

D2+

34

D3-

35

D3+

36

D4-

37R

EFIO3

CLK

-23

VIN

+8

OR

-58

D11-

56

D11+

57

OR

+59

D6+

47

D7-

48

D7+

49

D8-

50

D8+

51

D9-

52

D9+

53

D10-

54

D10+

55

T/B68

REFA

DJ

4

DC

LK-

42

DC

LK+

43

U9A

MA

X1213-5

AG

ND

16

AG

ND

19A

GN

D18

AG

ND

21

AG

ND

24

AG

ND

64

AG

ND

67A

GN

D66

AG

ND

2

AG

ND

7A

GN

D5

AG

ND

10

AG

ND

15

AV

CC

1

AV

CC

6

AV

CC

11

AV

CC

13A

VC

C12

AV

CC

14

AV

CC

20

AV

CC

25

AV

CC

63A

VC

C62

AV

CC

65

OV

CC

27

OV

CC

28

OV

CC

44O

VC

C41

OV

CC

60

OG

ND

61

OG

ND

26

OG

ND

45

AG

ND

_PAD

69

U9B

MA

X1213-5

R42

100

R46

100

R52

100

R45

100

R44

100

R35

100

R43

100

R50

100

R54

100

R49

100

R48

100

R53

100

R47

100

D0N

D0P

D1N

D1P

D2N

D2P

D3N

D3P

D4N

D4P

D5N

D5P

D6N

D6P

D7N

D7P

D8N

D8P

D9N

D9P

D10N

D10P

D11N

D11P

OR

NO

RP

R36

100D

CLK

P

DC

LKN

R37

0V

CC

18

Option

R34

100k

R41

10

R39

25

R40

25

R38

10

CO

N4

SMX

VIN

P

VIN

N

VIN

P

VIN

NFB

80

VC

C18

C76100n

C77100n

C78100n

C79100n

C80100n

C68100n

C69100n

C73100n

C74100n

C75100n

+C

7010u

R33

15k

C66

100n

ADC_VCC

OE

1

VC

C6

GN

D3

OU

T4

OU

T5

O1

EG-2121

VC

C25

C98

100n

FB11

C97

1u

C15

100n

1 3

6 5 4T1

TC1-1T

13

654

T2

TC1-1T

R64

150

C16

100n

C99

100n

R13

150

P0C1501 P0C1502

P0C1601 P0C1602

P0C6501

P0C6502

P0C6601 P0C6602

P0C6701 P0C6702

P0C6801 P0C6802

P0C6901 P0C6902

P0C7001 P0C7002

P0C7101 P0C7102

P0C7201 P0C7202

P0C7301 P0C7302

P0C7401 P0C7402

P0C7501 P0C7502

P0C7601 P0C7602

P0C7701 P0C7702

P0C7801 P0C7802

P0C7901 P0C7902

P0C8001 P0C8002

P0C8101 P0C8102

P0C8201 P0C8202

P0C8301 P0C8302

P0C9701 P0C9702

P0C9801 P0C9802

P0C9901 P0C9902

P0CON401

P0CON402

P0FB701 P0FB702

P0FB801 P0FB802

P0FB1101

P0FB1102

P0O101

P0O103

P0O104

P0O105

P0O106

P0R1301

P0R1302

P0R3301

P0R3302

P0R3401

P0R3402

P0R3403

P0R3501

P0R3502

P0R3601

P0R3602

P0R3701

P0R3702

P0R3801

P0R3802

P0R3901

P0R3902

P0R4001

P0R4002

P0R4101

P0R4102

P0R4201

P0R4202 P0R4301

P0R4302

P0R4401

P0R4402 P0R4501

P0R4502

P0R4601

P0R4602 P0R4701

P0R4702

P0R4801

P0R4802 P0R4901

P0R4902

P0R5001

P0R5002

P0R5101

P0R5102

P0R5201

P0R5202

P0R5301

P0R5302

P0R5401

P0R5402

P0R6401

P0R6402

P0T101

P0T103

P0T104

P0T105

P0T106

P0T201

P0T203

P0T204

P0T205

P0T206

P0U9A03

P0U9A04

P0U9A08

P0U9A09

P0U9A017

P0U9A022

P0U9A023

P0U9A029

P0U9A030

P0U9A031

P0U9A032

P0U9A033

P0U9A034

P0U9A035

P0U9A036

P0U9A037

P0U9A038

P0U9A039

P0U9A040

P0U9A042

P0U9A043

P0U9A046

P0U9A047

P0U9A048

P0U9A049

P0U9A050

P0U9A051

P0U9A052

P0U9A053

P0U9A054

P0U9A055

P0U9A056

P0U9A057

P0U9A058

P0U9A059

P0U9A068

P0U9B01

P0U9B02

P0U9B05

P0U9B06

P0U9B07

P0U9B010

P0U9B011

P0U9B012

P0U9B013

P0U9B014

P0U9B015

P0U9B016

P0U9B018

P0U9B019

P0U9B020

P0U9B021

P0U9B024

P0U9B025

P0U9B026

P0U9B027

P0U9B028

P0U9B041

P0U9B044

P0U9B045

P0U9B060

P0U9B061

P0U9B062

P0U9B063

P0U9B064

P0U9B065

P0U9B066

P0U9B067

P0U9B069

P0C1502

P0C6601

P0C6702

P0C6802

P0C6902

P0C7302

P0C7402

P0C7502

P0C7602

P0C7702

P0C7802

P0C7902

P0C8002

P0CON402

P0FB802

P0R3401

P0T106

P0U9B02

P0U9B05

P0U9B07

P0U9B010

P0U9B015

P0U9B016

P0U9B018

P0U9B019

P0U9B021

P0U9B024

P0U9B064

P0U9B066

P0U9B067

P0U9B069

P0C6701

P0C6801

P0C6901

P0C7301

P0C7401

P0C7501

P0C7601

P0C7701

P0C7801

P0C7901

P0C8001

P0FB702

P0U9B01

P0U9B06

P0U9B011

P0U9B012

P0U9B013

P0U9B014

P0U9B020

P0U9B025

P0U9B062

P0U9B063

P0U9B065

N0ADC0VCC

P0R4201

P0U9A029

N0D0N

P0R4202

P0U9A030

N0D0P

P0R4301

P0U9A031

N0D1N

P0R4302

P0U9A032

N0D1P

P0R5101

P0U9A033

N0D2N

P0R5102

P0U9A034

N0D2P

P0R4401

P0U9A035

N0D3N

P0R4402

P0U9A036

N0D3P

P0R4501

P0U9A037

N0D4N

P0R4502

P0U9A038

N0D4P

P0R5201

P0U9A039

N0D5N

P0R5202

P0U9A040

N0D5P

P0R4601

P0U9A046

N0D6N

P0R4602

P0U9A047

N0D6P

P0R4701

P0U9A048

N0D7N

P0R4702

P0U9A049

N0D7P

P0R5301

P0U9A050

N0D8N

P0R5302

P0U9A051

N0D8P

P0R4801

P0U9A052

N0D9N

P0R4802

P0U9A053

N0D9P

P0R4901

P0U9A054

N0D10N

P0R4902

P0U9A055

N0D10P

P0R5401

P0U9A056

N0D11N

P0R5402

P0U9A057

N0D11P

P0R3602

P0U9A042

N0DCLKN

P0R3601

P0U9A043

N0DCLKP

P0C7002

P0C7102

P0C7202

P0C8102

P0C8202

P0C8302

P0C9702

P0C9802

P0FB801

P0O103

P0R1301

P0R6402

P0U9B026

P0U9B045

P0U9B061

P0C1501

P0R3901

P0R4001

P0T205

P0C1601

P0R3501

P0U9A022

P0C1602

P0O104

P0R1302

P0C6501

P0T104

P0C6502

P0CON401

P0C6602

P0U9A03

P0C9701

P0C9801

P0FB1102

P0O101

P0O106

P0C9901

P0R3502

P0U9A023

P0C9902

P0O105

P0R6401

P0R3301

P0R3402

P0R3403

P0R3302

P0U9A04

P0R3702

P0U9A017

P0R3801

P0R3902

P0T206

P0R4002

P0R4101

P0T204

P0T101

P0T203

P0T103

P0T201

P0T105

P0U9A068

P0R5001

P0U9A058

N0ORN

P0R5002

P0U9A059

N0ORP

P0C7001

P0C7101

P0C7201

P0C8101

P0C8201

P0C8301

P0FB701

P0R3701

P0U9B027

P0U9B028

P0U9B041

P0U9B044

P0U9B060

P0FB1101

P0R4102

P0U9A09

N0VINN

N0VINN

P0R3802

P0U9A08

N0VINP

N0VINP

P0C1501 P0C1502

P0C1601 P0C1602

P0C6501

P0C6502

P0C6601 P0C6602

P0C6701 P0C6702

P0C6801 P0C6802

P0C6901 P0C6902

P0C7001 P0C7002

P0C7101 P0C7102

P0C7201 P0C7202

P0C7301 P0C7302

P0C7401 P0C7402

P0C7501 P0C7502

P0C7601 P0C7602

P0C7701 P0C7702

P0C7801 P0C7802

P0C7901 P0C7902

P0C8001 P0C8002

P0C8101 P0C8102

P0C8201 P0C8202

P0C8301 P0C8302

P0C9701 P0C9702

P0C9801 P0C9802

P0C9901 P0C9902

P0CON401

P0CON402

P0FB701 P0FB702

P0FB801 P0FB802

P0FB1101

P0FB1102

P0O101

P0O103

P0O104

P0O105

P0O106

P0R1301

P0R1302

P0R3301

P0R3302

P0R3401

P0R3402

P0R3403

P0R3501

P0R3502

P0R3601

P0R3602

P0R3701

P0R3702

P0R3801

P0R3802

P0R3901

P0R3902

P0R4001

P0R4002

P0R4101

P0R4102

P0R4201

P0R4202 P0R4301

P0R4302

P0R4401

P0R4402 P0R4501

P0R4502

P0R4601

P0R4602 P0R4701

P0R4702

P0R4801

P0R4802 P0R4901

P0R4902

P0R5001

P0R5002

P0R5101

P0R5102

P0R5201

P0R5202

P0R5301

P0R5302

P0R5401

P0R5402

P0R6401

P0R6402

P0T101

P0T103

P0T104

P0T105

P0T106

P0T201

P0T203

P0T204

P0T205

P0T206

P0U9A03

P0U9A04

P0U9A08

P0U9A09

P0U9A017

P0U9A022

P0U9A023

P0U9A029

P0U9A030

P0U9A031

P0U9A032

P0U9A033

P0U9A034

P0U9A035

P0U9A036

P0U9A037

P0U9A038

P0U9A039

P0U9A040

P0U9A042

P0U9A043

P0U9A046

P0U9A047

P0U9A048

P0U9A049

P0U9A050

P0U9A051

P0U9A052

P0U9A053

P0U9A054

P0U9A055

P0U9A056

P0U9A057

P0U9A058

P0U9A059

P0U9A068

P0U9B01

P0U9B02

P0U9B05

P0U9B06

P0U9B07

P0U9B010

P0U9B011

P0U9B012

P0U9B013

P0U9B014

P0U9B015

P0U9B016

P0U9B018

P0U9B019

P0U9B020

P0U9B021

P0U9B024

P0U9B025

P0U9B026

P0U9B027

P0U9B028

P0U9B041

P0U9B044

P0U9B045

P0U9B060

P0U9B061

P0U9B062

P0U9B063

P0U9B064

P0U9B065

P0U9B066

P0U9B067

P0U9B069

P0C1502

P0C6601

P0C6702

P0C6802

P0C6902

P0C7302

P0C7402

P0C7502

P0C7602

P0C7702

P0C7802

P0C7902

P0C8002

P0CON402

P0FB802

P0R3401

P0T106

P0U9B02

P0U9B05

P0U9B07

P0U9B010

P0U9B015

P0U9B016

P0U9B018

P0U9B019

P0U9B021

P0U9B024

P0U9B064

P0U9B066

P0U9B067

P0U9B069

N0ADC0VCC

P0C6701

P0C6801

P0C6901

P0C7301

P0C7401

P0C7501

P0C7601

P0C7701

P0C7801

P0C7901

P0C8001

P0FB702

P0U9B01

P0U9B06

P0U9B011

P0U9B012

P0U9B013

P0U9B014

P0U9B020

P0U9B025

P0U9B062

P0U9B063

P0U9B065

P0R4201

P0U9A029

P0R4202

P0U9A030

P0R4301

P0U9A031

P0R4302

P0U9A032

P0R5101

P0U9A033

P0R5102

P0U9A034

P0R4401

P0U9A035

P0R4402

P0U9A036

P0R4501

P0U9A037

P0R4502

P0U9A038

P0R5201

P0U9A039

P0R5202

P0U9A040

P0R4601

P0U9A046

P0R4602

P0U9A047

P0R4701

P0U9A048

P0R4702

P0U9A049

P0R5301

P0U9A050

P0R5302

P0U9A051

P0R4801

P0U9A052

P0R4802

P0U9A053

P0R4901

P0U9A054

P0R4902

P0U9A055

P0R5401

P0U9A056

P0R5402

P0U9A057

P0R3602

P0U9A042

P0R3601

P0U9A043

P0C7002

P0C7102

P0C7202

P0C8102

P0C8202

P0C8302

P0C9702

P0C9802

P0FB801

P0O103

P0R1301

P0R6402

P0U9B026

P0U9B045

P0U9B061

P0C1501

P0R3901

P0R4001

P0T205

P0C1601

P0R3501

P0U9A022

P0C1602

P0O104

P0R1302

P0C6501

P0T104

P0C6502

P0CON401

P0C6602

P0U9A03

P0C9701

P0C9801

P0FB1102

P0O101

P0O106

P0C9901

P0R3502

P0U9A023

P0C9902

P0O105

P0R6401

P0R3301

P0R3402

P0R3403

P0R3302

P0U9A04

P0R3702

P0U9A017

P0R3801

P0R3902

P0T206

P0R4002

P0R4101

P0T204

P0T101

P0T203

P0T103

P0T201

P0T105

P0U9A068

P0R5001

P0U9A058

P0R5002

P0U9A059

P0C7001

P0C7101

P0C7201

P0C8101

P0C8201

P0C8301

P0FB701

P0R3701

P0U9B027

P0U9B028

P0U9B041

P0U9B044

P0U9B060

P0FB1101

N0VINN

P0R4102

P0U9A09

N0VINP

P0R3802

P0U9A08

Rysu

nek

4.:Schem

atideow

ym

od

ułu

kod

era-digitizera

—u

kładp

rzetwo

rnika

A/C

http://rcin.org.pl

Page 171: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

171

11

22

33

44

DD

CC

BB

AA

Title

Num

ber

Rev

isio

nSi

ze A4

Dat

e:6/

22/2

008

Shee

t o

fFi

le:

C:\_

_PR

OJ\

..\D

AC

.sch

Dra

wn

By:

VC

C33

C91 10

0n

C92 10

0n

C86

100n

FB9

+C

8710

u

USB

2S3

- DA

CM

arci

n Le

wan

dow

ski

2

R56

2k

R57

50

CLK- 13

IOU

TB20

ACOM22D

1129

MODE 16

FS_A

DJ

24

AVDD18

CMODE 15D

931

D10

30

CLKCOM14D

1327

D12

28

D0

9

REF

IO23

D1

8D

27

D3

6D

45

D5

4D

62

D7

1D

832

AVDD17

SLEEP 25

ACOM19

DCOM10

DVDD3

CLK+ 12

IOU

TA21

DCOM26

CLKVDD11

PAD33

U10

AD

9744

CLK- 13

IOU

TB20

ACOM22

D11

29

MODE 16

FS_A

DJ

24

AVDD18

CMODE 15

D9

31D

1030

CLKCOM14

D13

27

D12

28

D0

9

REF

IO23

D1

8D

27

D3

6D

45

D5

4D

62

D7

1D

832

AVDD17

SLEEP 25

ACOM19

DCOM10

DVDD3

CLK+ 12

IOU

TA21

DCOM26

CLKVDD11

PAD33

U11

AD

9744

DA

C1_

D13

DA

C1_

D12

DA

C1_

D11

DA

C1_

D10

DA

C1_

D9

DA

C1_

D8

DA

C1_

D7

DA

C1_

D6

DA

C1_

D5

DA

C1_

D4

DA

C1_

D3

DA

C1_

D2

DA

C1_

D1

DA

C1_

D0

DA

C2_

D13

DA

C2_

D12

DA

C2_

D11

DA

C2_

D10

DA

C2_

D9

DA

C2_

D8

DA

C2_

D7

DA

C2_

D6

DA

C2_

D5

DA

C2_

D4

DA

C2_

D3

DA

C2_

D2

DA

C2_

D1

DA

C2_

D0

CO

N5

SMX

VC

C33

R58

50

VC

C33

C95

100n

R61

2k

R62

50

CO

N6

SMX

R63

50

C85 10

0n

C88 10

0n

+C

8410

u

FB10

C89 10

0n

C90 10

0n

C93 10

0n

C94 10

0n

DA

C_A

VD

D

DA

C_A

VD

DD

AC

_AV

DD

VC

C33

VC

C33

DA

C2_

CLK

DA

C1_

CLK

USB

2S3

- DA

C

R59

100

R55

33R

6033

TP16

TP14

1 3

6 5 4

T3

TC1-

1T

1 3

6 5 4

T4

TC1-

1T

P0C8401 P0C8402

P0C8501 P0C8502

P0C8601 P0C8602

P0C8701 P0C8702

P0C8801 P0C8802

P0C8901 P0C8902

P0C9001 P0C9002

P0C9101 P0C9102

P0C9201 P0C9202

P0C9301 P0C9302

P0C9401 P0C9402

P0C9501 P0C9502

P0CON501

P0CON502

P0CON601

P0CON602

P0FB901

P0FB902

P0FB1001

P0FB1002

P0R5501 P0R5502

P0R5601

P0R5602

P0R5701 P0R5702

P0R5801 P0R5802

P0R5901 P0R5902

P0R6001 P0R6002

P0R6101

P0R6102

P0R6201 P0R6202

P0R6301 P0R6302

P0T301

P0T303

P0T304

P0T305

P0T306

P0T401

P0T403

P0T404

P0T405

P0T406

P0TP1401

P0TP1601

P0U1001

P0U1002

P0U1003

P0U1004

P0U1005

P0U1006

P0U1007

P0U1008

P0U1009

P0U10010

P0U10011

P0U10012

P0U10013

P0U10014

P0U10015

P0U10016

P0U10017

P0U10018

P0U10019

P0U10020

P0U10021

P0U10022

P0U10023

P0U10024

P0U10025

P0U10026

P0U10027

P0U10028

P0U10029

P0U10030

P0U10031

P0U10032

P0U10033

P0U1101

P0U1102

P0U1103

P0U1104

P0U1105

P0U1106

P0U1107

P0U1108

P0U1109

P0U11010

P0U11011

P0U11012

P0U11013

P0U11014

P0U11015

P0U11016

P0U11017

P0U11018

P0U11019

P0U11020

P0U11021

P0U11022

P0U11023

P0U11024

P0U11025

P0U11026

P0U11027

P0U11028

P0U11029

P0U11030

P0U11031

P0U11032

P0U11033

P0R5502

N0DAC10CLK

P0U1009

N0DAC10D0

P0U1008

N0DAC10D1

P0U1007

N0DAC10D2

P0U1006

N0DAC10D3

P0U1005

N0DAC10D4

P0U1004

N0DAC10D5

P0U1002

N0DAC10D6

P0U1001

N0DAC10D7

P0U10032

N0DAC10D8

P0U10031

N0DAC10D9

P0U10030

N0DAC10D10

P0U10029

N0DAC10D11

P0U10028

N0DAC10D12

P0TP1401

P0U10027

N0DAC10D13

P0R6002

N0DAC20CLK

P0R5902

P0U1109

N0DAC20D0

P0U1108

N0DAC20D1

P0U1107

N0DAC20D2

P0U1106

N0DAC20D3

P0U1105

N0DAC20D4

P0U1104

N0DAC20D5

P0U1102

N0DAC20D6

P0U1101

N0DAC20D7

P0U11032

N0DAC20D8

P0U11031

N0DAC20D9

P0U11030

N0DAC20D10

P0U11029

N0DAC20D11

P0U11028

N0DAC20D12

P0TP1601

P0U11027

N0DAC20D13

P0C8602

P0C8702

P0C9102

P0C9202

P0C9302

P0C9402

P0C9502

P0CON502

P0CON602

P0FB1002

P0R5602

P0R5701

P0R5802

P0R6102

P0R6201

P0R6302

P0T303

P0T305

P0T403

P0T405

P0U10019

P0U10022

P0U11019

P0U11022

P0C8701

P0C9101

P0C9201

P0C9301

P0C9401

P0FB902

P0U10017

P0U10018

P0U11017

P0U11018

N0DAC0AVDD

P0C8402

P0C8502

P0C8802

P0C8902

P0C9002

P0FB1001

P0R5901

P0U10010

P0U10014

P0U10015

P0U10026

P0U10033

P0U11010

P0U11014

P0U11015

P0U11026

P0U11033

P0C8601

P0U10023

P0C9501

P0U11023

P0CON501

P0T301

P0CON601

P0T401

P0R5501 P0U10012

P0R5601

P0U10024

P0R5702

P0T304

P0U10021

P0R5801

P0T306

P0U10020

P0R6001 P0U11012

P0R6101

P0U11024

P0R6202

P0T404

P0U11021

P0R6301

P0T406

P0U11020

P0U10013

P0U10025

P0U11013

P0U11025

P0C8401

P0C8501

P0C8801

P0C8901

P0C9001

P0FB901

P0U1003

P0U10011

P0U10016

P0U1103

P0U11011

P0U11016

P0C8401 P0C8402

P0C8501 P0C8502

P0C8601 P0C8602

P0C8701 P0C8702

P0C8801 P0C8802

P0C8901 P0C8902

P0C9001 P0C9002

P0C9101 P0C9102

P0C9201 P0C9202

P0C9301 P0C9302

P0C9401 P0C9402

P0C9501 P0C9502

P0CON501

P0CON502

P0CON601

P0CON602

P0FB901

P0FB902

P0FB1001

P0FB1002

P0R5501 P0R5502

P0R5601

P0R5602

P0R5701 P0R5702

P0R5801 P0R5802

P0R5901 P0R5902

P0R6001 P0R6002

P0R6101

P0R6102

P0R6201 P0R6202

P0R6301 P0R6302

P0T301

P0T303

P0T304

P0T305

P0T306

P0T401

P0T403

P0T404

P0T405

P0T406

P0TP1401

P0TP1601

P0U1001

P0U1002

P0U1003

P0U1004

P0U1005

P0U1006

P0U1007

P0U1008

P0U1009

P0U10010

P0U10011

P0U10012

P0U10013

P0U10014

P0U10015

P0U10016

P0U10017

P0U10018

P0U10019

P0U10020

P0U10021

P0U10022

P0U10023

P0U10024

P0U10025

P0U10026

P0U10027

P0U10028

P0U10029

P0U10030

P0U10031

P0U10032

P0U10033

P0U1101

P0U1102

P0U1103

P0U1104

P0U1105

P0U1106

P0U1107

P0U1108

P0U1109

P0U11010

P0U11011

P0U11012

P0U11013

P0U11014

P0U11015

P0U11016

P0U11017

P0U11018

P0U11019

P0U11020

P0U11021

P0U11022

P0U11023

P0U11024

P0U11025

P0U11026

P0U11027

P0U11028

P0U11029

P0U11030

P0U11031

P0U11032

P0U11033

P0R5502

P0U1009

P0U1008

P0U1007

P0U1006

P0U1005

P0U1004

P0U1002

P0U1001

P0U10032

P0U10031

P0U10030

P0U10029

P0U10028

P0TP1401

P0U10027

P0R6002

N0DAC20D0

P0R5902

P0U1109

P0U1108

P0U1107

P0U1106

P0U1105

P0U1104

P0U1102

P0U1101

P0U11032

P0U11031

P0U11030

P0U11029

P0U11028

P0TP1601

P0U11027

P0C8602

P0C8702

P0C9102

P0C9202

P0C9302

P0C9402

P0C9502

P0CON502

P0CON602

P0FB1002

P0R5602

P0R5701

P0R5802

P0R6102

P0R6201

P0R6302

P0T303

P0T305

P0T403

P0T405

P0U10019

P0U10022

P0U11019

P0U11022

N0DAC0AVDD

P0C8701

P0C9101

P0C9201

P0C9301

P0C9401

P0FB902

P0U10017

P0U10018

P0U11017

P0U11018

P0C8402

P0C8502

P0C8802

P0C8902

P0C9002

P0FB1001

P0R5901

P0U10010

P0U10014

P0U10015

P0U10026

P0U10033

P0U11010

P0U11014

P0U11015

P0U11026

P0U11033

P0C8601

P0U10023

P0C9501

P0U11023

P0CON501

P0T301

P0CON601

P0T401

P0R5501 P0U10012

P0R5601

P0U10024

P0R5702

P0T304

P0U10021

P0R5801

P0T306

P0U10020

P0R6001 P0U11012

P0R6101

P0U11024

P0R6202

P0T404

P0U11021

P0R6301

P0T406

P0U11020

P0U10013

P0U10025

P0U11013

P0U11025

P0C8401

P0C8501

P0C8801

P0C8901

P0C9001

P0FB901

P0U1003

P0U10011

P0U10016

P0U1103

P0U11011

P0U11016

Rys

un

ek5.

:Sch

emat

ideo

wy

mo

du

łuko

der

a-d

igit

izer

a—

ukł

adp

rzet

wo

rnik

aC

/A

http://rcin.org.pl

Page 172: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

172 Schemat ideowy systemu

1 1

2 2

3 3

4 4

DD

CC

BB

AA

Title

Num

berR

evisionSize

A4

Date:

6/22/2008Sheet of

File:C

:\__PRO

J\..\Power.sch

Draw

n By:

+C

5622u

+C

6222u

VC

C33

VC

C25V

CC

12

R28

220

To channel reverse residual current

OU

T2

VIN

3

ADJ1

U6

LM1117-2.5

VC

C

PGN

D7

VC

C2

SHD

N3

CO

MP

4

LX8

VIN

1

VG

ND

6

FB5

U7

MC

P1612

+C

63

22u

R22

10R

29100k

R30

200k

L1Yageo 4.7u

C57

100n

C58

1n R24

25k

12

CO

N3

EXT_V

CC

12

34

JP2

VC

C_SEL

VC

C

USB

VC

C

C39

100n

VC

C33

C19100n

C23100n

C22100n

C21100n

C20100n

C40100n

+C

3847u

+C

1710u

+C

1810u

C47100n

C45100n

C44100n

C48100n

C46100n

C26100n

VC

C25

C27100n

C4910n

C30100n

C29100n

C28100n

C5010n

+C

2410u

C5110n

C5210n

C4110n

C4210n

C4310n

VC

C12

C33100n

C3710n

C36100n

C35100n

C34100n

C5310n

+C

3110u

+C

3210u

C5410n

C5510n

OU

T2

VIN

3

ADJ1

OU

T4

U5

LM1086-3.3

VC

C18

FB4

FB1

R23

10k

PD6

VC

C

PGN

D7

VC

C2

SHD

N3

CO

MP

4

LX8

VIN

1

VG

ND

6

FB5

U8

MC

P1612

+C

64

22u

R25

10R

31250k

R32

200k

L2Yageo 4.7u

C59

100n

C60

1n R27

25kR

2610k

PD7

USB

2S3 - Power

Marcin Lew

andowski

2

C6110n

+C

2510u

FB5

FB6

+C

9622u

P0C1701 P0C1702

P0C1801 P0C1802

P0C1901 P0C1902

P0C2001 P0C2002

P0C2101 P0C2102

P0C2201 P0C2202

P0C2301 P0C2302

P0C2401 P0C2402

P0C2501 P0C2502

P0C2601 P0C2602

P0C2701 P0C2702

P0C2801 P0C2802

P0C2901 P0C2902

P0C3001 P0C3002

P0C3101 P0C3102

P0C3201 P0C3202

P0C3301 P0C3302

P0C3401 P0C3402

P0C3501 P0C3502

P0C3601 P0C3602

P0C3701 P0C3702

P0C3801 P0C3802

P0C3901 P0C3902

P0C4001 P0C4002

P0C4101 P0C4102

P0C4201 P0C4202

P0C4301 P0C4302

P0C4401 P0C4402

P0C4501 P0C4502

P0C4601 P0C4602

P0C4701 P0C4702

P0C4801 P0C4802

P0C4901 P0C4902

P0C5001 P0C5002

P0C5101 P0C5102

P0C5201 P0C5202

P0C5301 P0C5302

P0C5401 P0C5402

P0C5501 P0C5502

P0C5601 P0C5602

P0C5701 P0C5702

P0C5801 P0C5802

P0C5901 P0C5902

P0C6001 P0C6002

P0C6101 P0C6102

P0C6201 P0C6202

P0C6301 P0C6302 P0C6401 P0C6402

P0C9601 P0C9602

P0CON301

P0CON302

P0FB101

P0FB102

P0FB401

P0FB402

P0FB501

P0FB502

P0FB601

P0FB602

P0JP201

P0JP202

P0JP203

P0JP204

P0L101

P0L102

P0L201

P0L202

P0R2201

P0R2202

P0R2301 P0R2302

P0R2401 P0R2402

P0R2501

P0R2502

P0R2601 P0R2602

P0R2701 P0R2702

P0R2801 P0R2802

P0R2901 P0R2902 P0R3001 P0R3002 P0R3101 P0R3102 P0R3201 P0R3202

P0U501

P0U502

P0U503

P0U504

P0U601

P0U602

P0U603

P0U701

P0U702

P0U703

P0U704

P0U705

P0U706

P0U707

P0U708

P0U801

P0U802

P0U803

P0U804

P0U805

P0U806

P0U807

P0U808

P0C1702

P0C1802

P0C1902

P0C2002

P0C2102

P0C2202

P0C2302

P0C2402

P0C2502

P0C2602

P0C2702

P0C2802

P0C2902

P0C3002

P0C3102

P0C3202

P0C3302

P0C3402

P0C3502

P0C3602

P0C3702

P0C3802

P0C3902

P0C4002

P0C4102

P0C4202

P0C4302

P0C4402

P0C4502

P0C4602

P0C4702

P0C4802

P0C4902

P0C5002

P0C5102

P0C5202

P0C5302

P0C5402

P0C5502

P0C5602

P0C5702

P0C5802

P0C5902

P0C6002

P0C6102

P0C6202

P0C6302 P0C6402

P0C9602

P0CON302

P0R2302 P0R2602

P0R2801

P0R3001 P0R3201

P0U501

P0U601

P0U706

P0U707

P0U806

P0U807

P0C5701

P0R2202

P0U702

P0C5801 P0R2401

P0C5901

P0R2502

P0U802

P0C6001 P0R2701

P0C6201

P0FB401

P0R2802

P0U602

P0C6301

P0FB501

P0L102

P0R2902

P0C6401

P0FB601

P0L202

P0R3102

P0CON301

P0JP201

P0FB101

P0JP202

P0JP204

P0L101

P0U708

P0L201

P0U808

P0R2402

P0U704

P0R2702

P0U804

P0R2901 P0R3002

P0U705

P0R3101 P0R3202

P0U805

P0R2301

P0U703

N0PD6

P0R2601

P0U803

N0PD7

P0JP203

N0USBVCC

P0C3801

P0C3901

P0C9601

P0FB102

P0R2201

P0R2501

P0U503

P0U603

P0U701

P0U801

N0VCC

N0VCC

N0VCC

P0C3101

P0C3201

P0C3301

P0C3401

P0C3501

P0C3601

P0C3701

P0C5301

P0C5401

P0C5501

P0FB502

N0VCC12

P0FB602

N0VCC18

P0C2401

P0C2501

P0C2601

P0C2701

P0C2801

P0C2901

P0C3001

P0C4401

P0C4501

P0C4601

P0C4701

P0C4801

P0C4901

P0C5001

P0C5101

P0C5201

P0C6101

P0FB402

N0VCC25

P0C1701

P0C1801

P0C1901

P0C2001

P0C2101

P0C2201

P0C2301

P0C4001

P0C4101

P0C4201

P0C4301

P0C5601

P0U502

P0U504

N0VCC33

P0C1701 P0C1702

P0C1801 P0C1802

P0C1901 P0C1902

P0C2001 P0C2002

P0C2101 P0C2102

P0C2201 P0C2202

P0C2301 P0C2302

P0C2401 P0C2402

P0C2501 P0C2502

P0C2601 P0C2602

P0C2701 P0C2702

P0C2801 P0C2802

P0C2901 P0C2902

P0C3001 P0C3002

P0C3101 P0C3102

P0C3201 P0C3202

P0C3301 P0C3302

P0C3401 P0C3402

P0C3501 P0C3502

P0C3601 P0C3602

P0C3701 P0C3702

P0C3801 P0C3802

P0C3901 P0C3902

P0C4001 P0C4002

P0C4101 P0C4102

P0C4201 P0C4202

P0C4301 P0C4302

P0C4401 P0C4402

P0C4501 P0C4502

P0C4601 P0C4602

P0C4701 P0C4702

P0C4801 P0C4802

P0C4901 P0C4902

P0C5001 P0C5002

P0C5101 P0C5102

P0C5201 P0C5202

P0C5301 P0C5302

P0C5401 P0C5402

P0C5501 P0C5502

P0C5601 P0C5602

P0C5701 P0C5702

P0C5801 P0C5802

P0C5901 P0C5902

P0C6001 P0C6002

P0C6101 P0C6102

P0C6201 P0C6202

P0C6301 P0C6302 P0C6401 P0C6402

P0C9601 P0C9602

P0CON301

P0CON302

P0FB101

P0FB102

P0FB401

P0FB402

P0FB501

P0FB502

P0FB601

P0FB602

P0JP201

P0JP202

P0JP203

P0JP204

P0L101

P0L102

P0L201

P0L202

P0R2201

P0R2202

P0R2301 P0R2302

P0R2401 P0R2402

P0R2501

P0R2502

P0R2601 P0R2602

P0R2701 P0R2702

P0R2801 P0R2802

P0R2901 P0R2902 P0R3001 P0R3002 P0R3101 P0R3102 P0R3201 P0R3202

P0U501

P0U502

P0U503

P0U504

P0U601

P0U602

P0U603

P0U701

P0U702

P0U703

P0U704

P0U705

P0U706

P0U707

P0U708

P0U801

P0U802

P0U803

P0U804

P0U805

P0U806

P0U807

P0U808

P0C1702

P0C1802

P0C1902

P0C2002

P0C2102

P0C2202

P0C2302

P0C2402

P0C2502

P0C2602

P0C2702

P0C2802

P0C2902

P0C3002

P0C3102

P0C3202

P0C3302

P0C3402

P0C3502

P0C3602

P0C3702

P0C3802

P0C3902

P0C4002

P0C4102

P0C4202

P0C4302

P0C4402

P0C4502

P0C4602

P0C4702

P0C4802

P0C4902

P0C5002

P0C5102

P0C5202

P0C5302

P0C5402

P0C5502

P0C5602

P0C5702

P0C5802

P0C5902

P0C6002

P0C6102

P0C6202

P0C6302 P0C6402

P0C9602

P0CON302

P0R2302 P0R2602

P0R2801

P0R3001 P0R3201

P0U501

P0U601

P0U706

P0U707

P0U806

P0U807

P0C5701

P0R2202

P0U702

P0C5801 P0R2401

P0C5901

P0R2502

P0U802

P0C6001 P0R2701

P0C6201

P0FB401

P0R2802

P0U602

P0C6301

P0FB501

P0L102

P0R2902

P0C6401

P0FB601

P0L202

P0R3102

P0CON301

P0JP201

P0FB101

P0JP202

P0JP204

P0L101

P0U708

P0L201

P0U808

P0R2402

P0U704

P0R2702

P0U804

P0R2901 P0R3002

P0U705

P0R3101 P0R3202

P0U805

P0R2301

P0U703

P0R2601

P0U803

P0JP203

N0VCC

P0C3801

P0C3901

P0C9601

P0FB102

P0R2201

P0R2501

P0U503

P0U603

P0U701

P0U801

N0VCC12

P0C3101

P0C3201

P0C3301

P0C3401

P0C3501

P0C3601

P0C3701

P0C5301

P0C5401

P0C5501

P0FB502

N0VCC18

P0FB602

N0VCC25

P0C2401

P0C2501

P0C2601

P0C2701

P0C2801

P0C2901

P0C3001

P0C4401

P0C4501

P0C4601

P0C4701

P0C4801

P0C4901

P0C5001

P0C5101

P0C5201

P0C6101

P0FB402

N0VCC33

P0C1701

P0C1801

P0C1901

P0C2001

P0C2101

P0C2201

P0C2301

P0C4001

P0C4101

P0C4201

P0C4301

P0C5601

P0U502

P0U504

Rysu

nek

6.:Schem

atideow

ym

od

ułu

kod

era-digitizera

—u

kłady

zasilania

http://rcin.org.pl

Page 173: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

173

11

22

33

44

DD

CC

BB

AA

Title

Num

ber

Rev

isio

nSi

ze A4

Dat

e:6/

18/2

008

Shee

t o

fFi

le:

C:\_

_PR

OJ\

..\us

on-p

ower

-am

p.sc

hdoc

Dra

wn

By:

6

V- 4V+7

VIN

3

BW

1

U2

BU

F634

IN-

2

6

V- 4V+7

IN+

3U

1

THS3

001

Q2

BC

P56

Q3

BC

P53

47R6

10R7

1kR14

250

R15

10R13

10R10

43R16

43R17

6.2

R11

6.2

R8

43R3

43R4

8.2

R1

8.2

R2

100

R12

100

R9

GN

D

+15V

+40V

-15V

-40V

GN

D

GN

D

GN

D

GN

D

100n

FC

5 10uF

C6

100n

FC

410

uFC

3G

ND

10R5

8.2

R18

8.2

R19

Q1

BC

P53

Q4

BC

P56

1uF

C8

10uFC

7

1uF

C2

10uFC

1

GN

D

Inpu

t

GN

D

Pow

er O

utpu

t

P0C101 P0C102

P0C201 P0C202

P0C301 P0C302

P0C401 P0C402

P0C501 P0C502

P0C601

P0C602

P0C701 P0C702

P0C801 P0C802

P0Q101

P0Q102

P0Q103

P0Q201

P0Q202

P0Q203 P0Q301

P0Q302

P0Q303

P0Q401

P0Q402

P0Q403

P0R101 P0R102

P0R201 P0R202

P0R301 P0R302

P0R401 P0R402

P0R501

P0R502

P0R601 P0R602 P0R701

P0R702

P0R801

P0R802

P0R901

P0R902

P0R1001

P0R1002

P0R1101

P0R1102

P0R1201

P0R1202

P0R1301

P0R1302

P0R1401

P0R1402

P0R1501 P0R1502

P0R1601 P0R1602

P0R1701 P0R1702

P0R1801 P0R1802

P0R1901 P0R1902

P0U102

P0U103

P0U104

P0U106

P0U107

P0U201

P0U203

P0U204

P0U206

P0U207

P0C701

P0C802

P0R1601

P0R1701

P0R1802

P0R1902

P0C501

P0C602

P0R1301

P0U201

P0U204 P0C301

P0C401

P0R501

P0U207

P0C102

P0C201 P0R101

P0R201

P0R301

P0R401

P0C101

P0C202

P0C302

P0C402

P0C502

P0C601

P0C702

P0C801

P0R601

P0R801

P0R902

P0R1101

P0R1202

P0R1502

P0Q101

P0R102

P0R202

P0Q102

P0Q103 P0Q403

P0R901

P0R1201

P0POWER OUTPUT

P0Q201

P0R802

P0Q202

P0Q302

P0R1001

P0Q203

P0R302

P0R402

P0Q301

P0R1102

P0Q303

P0R1602

P0R1702

P0Q401

P0R1801

P0R1901

P0Q402

P0R502

P0U107

P0R602

P0U103

P0INPUT

P0R701

P0U203

P0R702

P0R1401

P0U106

P0R1002

P0U206

P0R1302

P0U104 P0R1402

P0R1501

P0U102

P0POWER OUTPUT

P0INPUT

P0C701

P0C802

P0R1601

P0R1701

P0R1802

P0R1902

P0C501

P0C602

P0R1301

P0U201

P0U204 P0C301

P0C401

P0R501

P0U207

P0C102

P0C201 P0R101

P0R201

P0R301

P0R401

P0C101

P0C202

P0C302

P0C402

P0C502

P0C601

P0C702

P0C801

P0R601

P0R801

P0R902

P0R1101

P0R1202

P0R1502

P0Q101

P0R102

P0R202

P0Q102

P0Q103 P0Q403

P0R901

P0R1201

P0POWER OUTPUT

P0Q201

P0R802

P0Q202

P0Q302

P0R1001

P0Q203

P0R302

P0R402

P0Q301

P0R1102

P0Q303

P0R1602

P0R1702

P0Q401

P0R1801

P0R1901

P0Q402

P0R502

P0U107

P0R602

P0U103

P0INPUT

P0R701

P0U203

P0R702

P0R1401

P0U106

P0R1002

P0U206

P0R1302

P0U104 P0R1402

P0R1501

P0U102

Rys

un

ek7.

:Sch

emat

ideo

wy

wzm

acn

iacz

am

ocy

nad

ajn

ika

(au

tor:

Bo

gusł

awZ

ien

kiew

icz)

http://rcin.org.pl

Page 174: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

174 Schemat ideowy systemu

1 1

2 2

3 3

4 4

5 5

6 6

DD

CC

BB

AA

Title

Num

berR

evisionSize

BDate:

6/18/2008Sheet of

File:C

:\__PRO

J\..\USO

N-R

XLO

G-A

MP.SC

HD

OCDraw

n By:

R12

50R

104k7

R1

10

R2

390/619/

R32

15k8

R11

5k6

R27

1k

R29

8k25

T2

2x8

T12x8

Q1BF982

C1

4n7

C2

100n

1 3

4

6

7

8

U3

BU

F04GS (8)

12 34

5

6

7

8

U2

AD

603

4 8

231

U4A

TL082CM

(8)

R33

5k

11

22

33

44

88

77

66

55

U1A

SL611

R14

1k9R

1810 R

3

30

R24

1k9

R4

1k

R5

8k25

R6

10

R23

10

C13

100n

C3

56/39

C5

100n

C6

100n

C7

570/200C

14

100n

C12

56

C4

22/30/40

L10.68 uH

R25

10 R7

10

C15100n

C8

100n

R8

4,7

IN R

EC

OU

TPUT

+12V

+12V

+12V

-12V

-12V

+6V

+5V

-5V

Vin

3

GND1

-12V2

U12

MC

7912K

Vin

1

GND3

+12V2

U23

MC

7812K

+C

633u3

+C

643u3

+

C37

3u3+

C35

3u3

+12V

-12V

+15V

-15V

11

22

33

44

88

77

66

55

U14A

SL560C

43

820p

C42

10n

C60

820pC

59100n

D6DIODE

D7DIODE

D5

DIO

DE

D4

DIO

DE

L2100n

Vin

1

GND3

+6V2

U9

MC

78L06K

+ C34

3u3

+ C36

3u3

+12V+6V

p

+6Vp

TRA

NSD

.

TRA

NSM

IT.

IN R

EC

R26

10

R910

C9

100n+10u

C16

100n+10u

3 21

8 4

U5A

TL082

5 67

U5B

TL082

R13

10R41

10

C10 100n

C17

100n

+12V

-12V

C11

100n

GA

IN

R15

5k49R

172k

R16

5k49

R19

12k1

R20

8k25

R21

2k

R22

5k

+12V

ZRW

+12V

+C

613u3

+C

623u3

+

C26

3u3+

C24

3u3

+5V

-5V

+12V

-12V

Vin

1

GND3

+5V2

U15

MC

78L05CG

Vin

3

GND1

-5V2

U11

MC

79L05CG

Vin

1

GND3

+6V2

U6

MC

78L06K

+ C23

3u3

+ C25

3u3

+12V+6V

p

P0C101 P0C102

P0C201 P0C202

P0C301

P0C302

P0C401 P0C402

P0C501 P0C502

P0C601 P0C602

P0C701 P0C702

P0C801 P0C802

P0C901 P0C902

P0C1001 P0C1002

P0C1101 P0C1102

P0C1201 P0C1202

P0C1301 P0C1302

P0C1401 P0C1402

P0C1501 P0C1502

P0C1601 P0C1602

P0C1701 P0C1702

P0C2301 P0C2302 P0C2401 P0C2402

P0C2501 P0C2502 P0C2601 P0C2602

P0C3401 P0C3402 P0C3501 P0C3502

P0C3601 P0C3602 P0C3701 P0C3702

P0C4201 P0C4202

P0C4301 P0C4302

P0C5901 P0C5902

P0C6001 P0C6002

P0C6101 P0C6102

P0C6201 P0C6202

P0C6301 P0C6302

P0C6401 P0C6402

P0D401 P0D402

P0D501 P0D502

P0D601 P0D602

P0D701 P0D702

P0L101

P0L102

P0L201

P0L202

P0Q101

P0Q102

P0Q103

P0Q104

P0R101

P0R102

P0R201 P0R202

P0R301

P0R302

P0R401

P0R402

P0R501

P0R502

P0R601

P0R602

P0R701 P0R702

P0R801

P0R802

P0R901

P0R902

P0R1001 P0R1002

P0R1101

P0R1102

P0R1201 P0R1202

P0R1301 P0R1302

P0R1401 P0R1402

P0R1501

P0R1502

P0R1601 P0R1602

P0R1701

P0R1702

P0R1801 P0R1802

P0R1901

P0R1902

P0R2001

P0R2002

P0R2101

P0R2102

P0R2201

P0R2202

P0R2203

P0R2301 P0R2302

P0R2401 P0R2402

P0R2501 P0R2502

P0R2601

P0R2602

P0R2701

P0R2702

P0R2901

P0R2902

P0R3201

P0R3202

P0R3301

P0R3302

P0R3303

P0R4101 P0R4102

P0T101

P0T102

P0T103

P0T104

P0T201

P0T202

P0T203

P0T204

P0U1A01

P0U1A02

P0U1A03

P0U1A04

P0U1A05

P0U1A06

P0U1A07

P0U1A08

P0U201

P0U202

P0U203

P0U204

P0U205

P0U206

P0U207

P0U208

P0U301

P0U303

P0U304

P0U306

P0U307

P0U308

P0U4A01

P0U4A02

P0U4A03

P0U4A04 P0U4A08

P0U5A01

P0U5A02

P0U5A03

P0U5A04 P0U5A08

P0U5B05

P0U5B06

P0U5B07

P0U601

P0U602

P0U603

P0U901

P0U902

P0U903

P0U1101

P0U1102

P0U1103

P0U1201

P0U1202

P0U1203

P0U14A01

P0U14A02

P0U14A03

P0U14A04

P0U14A05

P0U14A06

P0U14A07

P0U14A08

P0U1501

P0U1502

P0U1503

P0U2301

P0U2302

P0U2303

P0C3502

P0U1203

P0C2402

P0C3702

P0R702

P0R1302

P0R2601

P0U1103

P0U1202

P0C2602

P0R2301

P0U1102

P0C6201

P0R602

P0U1502

P0R1801

P0C2501

P0C3601

P0C5901

P0U602

P0U902

P0U14A04

P0U14A05

P0C2301

P0C3401

P0C6101

P0C6401

P0R102

P0R901

P0R2002

P0R2501

P0R3301

P0R4101

P0U601

P0U901

P0U1501

P0U2302

P0C6301

P0U2301

P0C101

P0C201

P0C501

P0C602

P0C801

P0C902

P0C1002

P0C1102

P0C1202

P0C1302

P0C1402

P0C1501

P0C1601

P0C1702

P0C2302 P0C2401

P0C2502 P0C2601

P0C3402 P0C3501

P0C3602 P0C3701

P0C4201

P0C5902

P0C6102

P0C6202

P0C6302

P0C6402

P0D601

P0D702

P0Q104

P0R201

P0R401

P0R1201

P0R1602

P0R2102

P0R2401

P0R2901

P0R3303

P0T104

P0T203

P0T204

P0U1A01

P0U1A04

P0U1A08

P0U202

P0U204

P0U603

P0U903

P0U1101

P0U1201

P0U14A01

P0U14A02

P0U1503

P0U2303

P0C102

P0Q101

P0R1001

P0C202

P0R101 P0T101

P0C301

P0U1A05

P0U1A06

P0C302

P0R202

P0T102

P0C401

P0R302

P0C402 P0L101 P0C502 P0R402 P0R502

P0U201

P0C601

P0R501 P0R601

P0U208

P0C701

P0U207

P0C702

P0R2402

P0U303 P0C802

P0R701

P0U304

P0C901

P0R902

P0U4A08

P0C1001

P0R1301

P0U5A04

P0C1101

P0U5A03

P0GAIN

P0C1201

P0R1402

P0U1A07

P0C1301

P0R1802

P0U1A02

P0C1401

P0R2302 P0U206

P0C1502

P0R2502 P0U307

P0C1602

P0R2602

P0U4A04

P0C1701

P0R4102 P0U5A08

P0C4202

P0U14A06

P0C4301

P0D602

P0D701

P0L202

P0C4302 P0U14A08

P0C6001

P0U14A03

P0C6002

P0R1202

P0T201

P0IN REC

P0IN REC

P0D401

P0D502

P0L201

P0TRANSD0

P0D402

P0D501

P0TRANSMIT0

P0L102 P0U203

P0Q102

P0T202

P0Q103 P0T103

P0R301

P0U1A03

P0R801

P0U306

P0R802

P0OUTPUT

P0R1002

P0R1102

P0U4A01

P0R1101

P0R2702

P0U4A02

P0R1401

P0R1502

P0U5B07

P0R1501

P0R1702

P0U5B06

P0R1601

P0R1901

P0U5B05

P0R1701

P0ZRW

P0R1902 P0R2202

P0R2001 P0R2203

P0R2101 P0R2201

P0R2701

P0U5A01

P0U5A02

P0R2902

P0R3202

P0U4A03

P0R3201 P0R3302

P0U205

P0U301

P0U308

P0U14A07

P0GAIN

P0IN REC

P0TRANSD0

P0TRANSMIT0

P0OUTPUT

P0ZRW

P0C3502

P0U1203

P0C2402

P0C3702

P0R702

P0R1302

P0R2601

P0U1103

P0U1202

P0C2602

P0R2301

P0U1102

P0C6201

P0R602

P0U1502

P0R1801

P0C2501

P0C3601

P0C5901

P0U602

P0U902

P0U14A04

P0U14A05

P0C2301

P0C3401

P0C6101

P0C6401

P0R102

P0R901

P0R2002

P0R2501

P0R3301

P0R4101

P0U601

P0U901

P0U1501

P0U2302

P0C6301

P0U2301

P0C101

P0C201

P0C501

P0C602

P0C801

P0C902

P0C1002

P0C1102

P0C1202

P0C1302

P0C1402

P0C1501

P0C1601

P0C1702

P0C2302 P0C2401

P0C2502 P0C2601

P0C3402 P0C3501

P0C3602 P0C3701

P0C4201

P0C5902

P0C6102

P0C6202

P0C6302

P0C6402

P0D601

P0D702

P0Q104

P0R201

P0R401

P0R1201

P0R1602

P0R2102

P0R2401

P0R2901

P0R3303

P0T104

P0T203

P0T204

P0U1A01

P0U1A04

P0U1A08

P0U202

P0U204

P0U603

P0U903

P0U1101

P0U1201

P0U14A01

P0U14A02

P0U1503

P0U2303

P0C102

P0Q101

P0R1001

P0C202

P0R101 P0T101

P0C301

P0U1A05

P0U1A06

P0C302

P0R202

P0T102

P0C401

P0R302

P0C402 P0L101 P0C502 P0R402 P0R502

P0U201

P0C601

P0R501 P0R601

P0U208

P0C701

P0U207

P0C702

P0R2402

P0U303 P0C802

P0R701

P0U304

P0C901

P0R902

P0U4A08

P0C1001

P0R1301

P0U5A04

P0C1101

P0U5A03

P0GAIN

P0C1201

P0R1402

P0U1A07

P0C1301

P0R1802

P0U1A02

P0C1401

P0R2302 P0U206

P0C1502

P0R2502 P0U307

P0C1602

P0R2602

P0U4A04

P0C1701

P0R4102 P0U5A08

P0C4202

P0U14A06

P0C4301

P0D602

P0D701

P0L202

P0C4302 P0U14A08

P0C6001

P0U14A03

P0C6002

P0R1202

P0T201

P0IN REC

P0D401

P0D502

P0L201

P0TRANSD0

P0D402

P0D501

P0TRANSMIT0

P0L102 P0U203

P0Q102

P0T202

P0Q103 P0T103

P0R301

P0U1A03

P0R801

P0U306

P0R802

P0OUTPUT

P0R1002

P0R1102

P0U4A01

P0R1101

P0R2702

P0U4A02

P0R1401

P0R1502

P0U5B07

P0R1501

P0R1702

P0U5B06

P0R1601

P0R1901

P0U5B05

P0R1701

P0ZRW

P0R1902 P0R2202

P0R2001 P0R2203

P0R2101 P0R2201

P0R2701

P0U5A01

P0U5A02

P0R2902

P0R3202

P0U4A03

P0R3201 P0R3302

P0U205

P0U301

P0U308

P0U14A07

Rysu

nek

8.:Schem

atideow

yw

zmacn

iaczao

db

iorczego

(auto

r:Ryszard

Tymkiew

icz)

http://rcin.org.pl

Page 175: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Bibliografia

1. General purpose computation on graphics hardware. SIGGRAPH 2005 COURSE, 2005.

2. OpenMP application program interface. Raport instytutowy, OpenMP ArchitectureReview Board, 2005.

3. Tutorial on GPGPU. SUPERCOMPUTING 2006, 2006.

4. J. G. Abbott. Rationale and derivation of MI and TI — a review. Ultrasound Med. Biol.,25(3):431–441, 1999.

5. Agilent Technologies. Jitter Analysis Techniques for High Data Rates, 2003. Nota apli-kacyjna 1432.

6. F. Akasheh, T. Myers, J. D. Fraser, S. Bose, A. Bandyopadhyay. Development of pie-zoelectric micromachined ultrasonic transducers. Sensors and Actuators A, 111(2–3):275–287, 2004.

7. H. Alexander, D. L. Miller. Determining skin thickness with pulsed ultrasound. Journalof Investigative Dermatology, 72(1):17–19, 1979.

8. Analog Devices. A Technical Tutorial on Digital Signal Synthesis, 1999.

9. Analog Devices. AD9744, 2005. Karta katalogowa.

10. Analog Devices. ADC analyzer user manual, 2006. Dokumentacja techniczna.

11. Analog Devices. AD9517, 2007. Karta katalogowa.

12. Analog Devices. AD9854, 2007. Karta katalogowa.

13. E. Szymanska and A. Nowicki, K. Mlosek, J. Litniewski M. Lewandowski, W. Secomski,R. Tymkiewicz. Skin imaging with high frequency ultrasound — preliminary results.European Journal of Ultrasound, 12(1):9–16, 2000.

14. ARM. ARM7TDMI (Rev 3) Technical Reference Manual, 2001. Karta katalogowa.

15. A. A. Atchley, L. A. Frizzell, R. E. Apfel, C. Holland, S. Madenshetty, R. Roy. Thresholdsfor cavitation produced in water by pulsed ultrasound. Ultrasonics, 26(5):280–285,1988.

16. Atmel. AT91SAM7S512, AT91SAM7S256, AT91SAM7S128, AT91SAM7S64,AT91SAM7S321, AT91SAM7S32, AT91SAM7S161, AT91SAM7S16, 2007. Karta ka-talogowa.

http://rcin.org.pl

Page 176: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

176 Bibliografia

17. J. Axelson. USB complete: everything you need to develop custom USB peripherals. La-keview Research, 2001.

18. B. Baker. A glossary of analog-to-digital specifications and performance characteristics.Texas Instruments, 2006. Nota aplikacyjna SBAA147.

19. D. K. Barton, S. A. Leonov, redaktorzy. Radar Technology Encyclopedia. Artech House,1997.

20. L. Bassi, E. Boni, A. Dallai, F. Guidi, S. Ricci, P. Tortoli. ULA-OP: a novel ultrasound ad-vanced open platform for experimental research. 2007 IEEE International UltrasonicsSymposium, New York, USA, 2007.

21. V. Behar, D. Adam. Parameter optimization of pulse compression in ultrasound ima-ging systems with coded excitation. Ultrasonics, 42:1101–1109, 2004.

22. M. Berson, J. M. Gregoire, F. Gens, J. Rateau, F. Jamet, L. Vaillant, F. Tranquart, L. Pour-celot. High frequency (20 MHz) ultrasonic devices: Advantages and applications. Eur.J. Ultrasound, 10(1):53–63, 1999.

23. OpenGL Architecture Review Board, redaktor. OpenGL programming guide: the officialguide to learning OpenGL, version 2. Addison-Wesley, 2005.

24. P. B. Borwein, R. A. Ferguson. A complete description of Golay pairs for lengths up to100. Mathematics of Computation, 73:967–985, 2004.

25. D. Brandwood. Fourier Transforms in Radar and Signal Processing. Artech House,2003.

26. B. Brannon. Aperture uncertainty and ADC system performance. Analog Devices. Notaaplikacyjna AN-501.

27. B. Brannon. Sampled systems and the effects of clock phase noise and jitter. AnalogDevices. Nota aplikacyjna AN-756.

28. B. Brannon. Demystifying Sigma-Delta ADCs. Maxim Integrated Products, 2003. Notaaplikacyjna AN1870.

29. B. Brannon, R. Reeder. Understanding High Speed ADC Testing and Evaluation. AnalogDevices. Nota aplikacyjna AN-835.

30. J. A. Brown, F. S. Foster, A. Needles, E. Cherin, G. R. Lockwood. Fabrication and per-formance of a 40 MHz linear array based on a 1-3 composite with geometric elevationfocusing. IEEE Trans. Ultrason., Ferroelect., Freq. Contr., 54(9):1888–1894, 2007.

31. I. Buck, T. Foley, D. Horn, J. Sugerman, K. Fatahalian, M. Houston, P. Hanrahan. Brookfor GPUs: stream computing on graphics hardware. ACM Trans. Graph., 23(3):777–786,2004.

32. P. Burns. Software defined radio for 3G. Artech House, 2002.

33. J. H. Chang, J. T. Yen, K. K. Shung. High-speed digital scan converter for high-frequencyultrasound sector scanners. Ultrasonics, 48(5):444–452, 2008.

http://rcin.org.pl

Page 177: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Bibliografia 177

34. R. Y. Chiao, X. Hao. Coded excitation for diagnostic ultrasound: a system developer’sperspective. IEEE Trans. Ultrason., Ferroelect., Freq. Contr., 52(2):160–170, 2005.

35. R. S. C. Cobbold. Foundations of Biomedical Ultrasound. Oxford University Press,2006.

36. R. C. Cofer, B. F. Harding. Rapid system prototyping with FPGA’S: Accelerating the designprocess. Newnes, 2006.

37. I. Crawford, K. Wadleigh. Software optimization for high performance computing: cre-ating faster applications. Prentice Hall, 2000.

38. Cray Inc. Cray XD1 datasheet, 2006.

39. Cypress Semiconductor. Cypress CyAPI Programmer’s Reference, 2003. Dokumentacja.

40. Cypress Semiconductor. Cypress CyUsb.sys Programmer’s Reference, 2003. Dokumen-tacja.

41. Cypress Semiconductor. CY22393, CY22394, CY22395, 2004. Karta katalogowa.

42. Cypress Semiconductor. CY7C68013A — EZ-USB FX2LP USB Microcontroller, 2006.Karta katalogowa.

43. Ch. H. Dick, H. M. Pedersen. Design and Implementation of High-Performance FPGASignal Processing Datapaths for Software Defined Radios. Xilinx and Technical Univer-sity of Denmark, 2004. Nota aplikacyjna.

44. Epson. EG-2121/2102CA series, 2006. Karta katalogowa.

45. R. Fernando, redaktor. GPU Gems: programming techniques, tips, and tricks for real-time graphics. Addison-Wesley, 2004.

46. R. Fernando, M. J. Kilgard. Jezyk Cg. Programowanie grafiki w czasie rzeczywistym.Helion, 2003.

47. J. D. Foley, A. van Dam, S. K. Feiner, J. F. Hughes. Computer graphics: principles andpractice in C. Addison-Wesley, 1995.

48. U.S. Food, Drug Administration. 510 (k) Guide for measuring and reporting acousticoutput of diagnostic ultrasound devices, 1985.

49. F. Forsberg. Ultrasonic biomedical technology; marketing versus clinical reality. Ul-trasonics, 42:17–27, 2004.

50. Message Passing Interface Forum. MPI-2: extensions to the Message-Passing Interfa-ce. Raport instytutowy, University of Tennessee, 2003.

51. F. S. Foster, C. J. Pavlin, K. A. Harasiewicz, D. A. Christopher, D. H. Turnbull. Advancesin ultrasound biomicroscopy. Ultrasound Med. Biol., 26(1):1–27, 2000.

52. B. Fraser, Ch. Murphy, F. Bunting. Real world color management. Peachpit Press, 2004.

53. S. W. Golomb, G. Gong. Signal design for good correlation: for wireless communication,cryptography, and radar. Cambridge University Press, 2005.

http://rcin.org.pl

Page 178: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

178 Bibliografia

54. S. Goyal, A. Chatterjee, M. Atia. Reducing sampling clock jitter to improve snr measu-rement of A/D converters in production test. Test Symposium, 2006. ETS ’06. EleventhIEEE European, strony 165–172, 2006.

55. F. Gran, J. A. Jensen. Designing non-linear frequency modulated signals for medicalultrasound imaging. Proc. IEEE Ultrason. Symp., strony 1714–1717, 2006.

56. K. Gray. The Microsoft DirectX 9 programmable graphics pipeline. Microsoft Press,2003.

57. B. Haider, P. A. Lewin, K. E. Thomenius. Pulse elongation and deconvolution filte-ring for medical ultrasonic imaging. IEEE Trans. Ultrason., Ferroelect., Freq. Contr.,45(1):98–113, 1998.

58. F. J. Harris. On the use of windows for harmonic analysis with the discrete Fouriertransform. Proceedings of the IEEE, 66(1):51–83, 1978.

59. F. M. Hendriks. Mechanical behaviour of human epidermal and dermal layers in vivo.Praca doktorska, Technische Universiteit Eindhoven, 2005.

60. J. L. Hennessy, D. A. Patterson. Computer architecture: a quantitative approach. Mor-gan Kaufmann, 2006.

61. J. Hyde. USB design by example: a practical guide to building I/O devices. Intel Press,2001.

62. IEC. IEC/4WD 61966-2-1: Colour measurement and management in multimedia sys-tems and equipment — part 2-1: Default RGB colour space — sRGB, 1998.

63. IEC. IEC standard 60601-2-37 (2002). medical electrical equipment, part 2: Particularrequirements for the safety of ultrasonics medical diagnostic and monitoring equip-ment, 2002.

64. Intel. Intel SSE4 Programming Reference, 2007. Dokumentacja D91561-003.

65. J. A. Jensen, O. Holm, L. J. Jensen, H. Bendsen, H. M. Pedersen, K. Salomonsen, J. Han-sen, S. Nikolov. Experimental ultrasound system for real-time synthetic imaging. Proc.IEEE Ultrason. Symp., wolumen 2, strony 1595–1599, 1999.

66. H. W. Johnson. Embedded computing — a VLIW approach to architecture, compilersand tools. Morgan Kaufmann, 2005.

67. T. Kecik, P. Lewandowski, D. Kecik, redaktorzy. Metody obrazowania w okulistyce. Kli-nika i Katedra Okulistyki AM, 2001.

68. W. Kester, redaktor. Data conversion handbook. Newnes, 2004.

69. K. Kunicka, L. Bieniaszewski, E. Swierblewska, H. Swiatek, P. Kaczmarek-Kusznierewicz, P. Kruszewski, J. Neubauer-Geryk, E. Miszkowska, E. Drzazga.Znaczenie wyboru wskaznika opisujacego kompleks intima–media dla badaniazaleznosci z wybranymi czynnikami ryzyka sercowo-naczyniowego. Nadcisnienietetnicze, 11(4):335–349, 2007.

http://rcin.org.pl

Page 179: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Bibliografia 179

70. M. Lewandowski, A. Nowicki. Softwarowa realizacja algorytmu kompresji ech w ul-trasonografii kodowanej. 52 Otwarte Seminarium z Akustyki, strony 255–258, 2005.Poznan — Wagrowiec.

71. M. Lewandowski, A. Nowicki. High frequency coded imaging system with full softwareRF signal processing. Proc. IEEE Ultrason. Symp., wolumen 1, strony 128–141, 2006.

72. J. Litniewski, A. Nowicki, I. Trots. Zastosowanie ultradzwieków kodowanych do bada-nia własnosci kosci gabczastej. Ultrasonografia, 14(18), 2004.

73. R. Lou-Meller, W. Wolny, E. Ringgaard, A. Nowicki, M. Lewandowski, W. Secomski. No-vel thick film transducers for high frequency ultrasonography. Proc. IEEE Ultrason.Symp., strony 2397–2400, 2007.

74. R. G. Lyons. Understanding Digital Signal Processing. Prentice Hall, 2004.

75. B. R. Mahafza, A. Elsherbeni. MATLAB Simulations for Radar Systems Design. Chap-man & Hall/CRC, 2003.

76. T. Mäller, E. Haines. Real-time rendering. A K Peters, 1999.

77. Maxim Integrated Products. Defining and Testing Dynamic Parameters in High-SpeedADCs, Part 1, 2001. Nota aplikacyjna AN728.

78. Maxim Integrated Products. Dynamic Testing of High-Speed ADCs, Part 2, 2001. Notaaplikacyjna AN729.

79. Maxim Integrated Products. Coherent Sampling vs. Window Sampling, 2002. Notaaplikacyjna AN1040.

80. Maxim Integrated Products. Selecting the Optimum Test Tones and Test Equipment forSuccessful High-Speed ADC Sinewave Testing, 2002. Nota aplikacyjna AN1819.

81. Maxim Integrated Products. MAX1215, 2006. Karta katalogowa.

82. G. McLaughlin. DSPs and zone sonography enable portable ultrasound. RTC magazi-ne, (7), 2006.

83. T. McReynolds, D. Blythe. Advanced graphics programming using OpenGL. MorganKaufmann, 2005.

84. U. Meyer-Baese. Digital signal processing with field programmable gate arrays. Sprin-ger, 2001.

85. Microchip Technology. MCP1612, 2005. Karta katalogowa.

86. T. Miller. Managed DirectX 9 kick start: graphics and game programming. Sams, 2003.

87. T. Misaridis. Ultrasound imaging using coded signals. Praca doktorska, Technical Uni-versity of Denmark, 2001.

88. T. X. Misaridis, K. Gammelmark, C. H. Jorgensen, N. Lindberg, A. H. Thomsen, M. H.Pedersen, J. A. Jensen. Potential of coded excitation in medical ultrasound imaging.Ultrasonics, 38:183–189, 2000.

http://rcin.org.pl

Page 180: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

180 Bibliografia

89. G. V. Morris, L. L. Harkness, redaktorzy. Airborne Pulsed Doppler Radar. Artech House,1996.

90. S. Natarajan. Automatic gain control for a small portable ultrasound device. Pracamagisterska, Massachusetts Institute of Technology, 2001.

91. National Electrical Manufacturers Association. Digital imaging and communicationsin medicine (DICOM). Part 1: Introduction and overview, 2007.

92. National Electrical Manufacturers Association. Digital imaging and communicationsin medicine (DICOM). Part 14: Grayscale standard display function digital imaging andcommunications in medicine (DICOM), 2007.

93. National Semiconductor. LVDS Owner’s Manual (3rd ed), 2004.

94. National Semiconductor. LM1086, 2005. Karta katalogowa.

95. National Semiconductor. LM1117, 2005. Karta katalogowa.

96. National Semiconductor. Clock Conditioner Owner’s Manual, 2006. Nota aplikacyjna1432.

97. S. I. Nikolov, J. P. Gomez Gonzalez, J. A Jensen. Real time 3D visualization of ultrasonicdata using a standard PC. Ultrasonics, 41(6):421–426, 2003.

98. A. Nowicki. Wstep do ultrasonografii. Medipage, 2003.

99. A. Nowicki, Z. Klimonda, M. Lewandowski, J. Litniewski, P. A. Lewin, I. Trots. Compa-rison of sound fields generated by different coded excitations — experimental results.Ultrasonics, 44(1):121–129, 2006.

100. A. Nowicki, A. Kubera, K. Rene, P. A. Lewin, T. Pałko. Matched all-pass filtering of thereceived echoes for range improvement in medical ultrasound imaging. Archives ofAcoustics, 22(3):307–331, 1997.

101. A. Nowicki, M. Lewandowski, W. Secomski, J. Litniewski, R. Tymkiewicz. High frequen-cy imaging using coded Golay transmission. Proc. IEEE Ultrason. Symp., wolumen 1,strony 128–141, 2005.

102. A. Nowicki, J. Litniewski, W. Secomski, P.A. Lewin, I. Trots. Estimation of ultrasonicattenuation in a bone using coded excitation. Ultrasonics, 41(8):615–621, 2003.

103. A. Nowicki, W. Secomski, J. Litniewski, I. Trots. On the application of signal compres-sion using Golay’s codes sequences in ultrasound diagnostic. Archives of Acoustics,28(4):313–324, 2003.

104. A. Nowicki, I. Trots, P. A. Lewin, W. Secomski, R. Tymkiewicz. Influence of the ultraso-und transducer bandwidth on selection of the complementary Golay bit code length.Ultrasonics, 47(1):64–73, 2007.

105. A. Nowicki, J. Wójcik, W. Secomski. Harmonic imaging using multitone nonlinear co-ding. Ultrasound Med. Biol., 33(7):1112–1122, 2007.

106. NVIDIA. NVIDIA GPU programming guide, wydanie wersja 2.4.0, 2005.

http://rcin.org.pl

Page 181: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Bibliografia 181

107. NVIDIA. NVIDIA GeForce 8800 GPU architecture overview, 2006.

108. NVIDIA. NVIDIA CUDA compute unified device architecture. Programming guide, wy-danie wersja 1.0, 2007.

109. NVIDIA. NVIDIA Tesla Computing Technical Brief, wydanie wersja 1.0.0, 2007.

110. M. O’Donnell, Y. Wang. Coded excitation for synthetic aperture ultrasound imaging.IEEE Trans. Ultrason., Ferroelect., Freq. Contr., 52(2):171–176, 2005.

111. M. L. Oelze. Bandwidth and resolution enhancement through pulse compression. IE-EE Trans. Ultrason., Ferroelect., Freq. Contr., 54(4):768–781, 2007.

112. M. L. Oelze. Improved axial resolution using pre-enhanced chirps and pulse compres-sion. 2006 IEEE International Ultrasonics Symposium, strony 1083–1086, Vancouver,Canada, 2007.

113. European Federation of Societies for Ultrasound in Medicine, Biology. Clinical safetystatement for diagnostic ultrasound. report from the European Committee for ultra-sound radiation safety. Eur. J. Ultrasound, 16(3), 1996.

114. O. Oralkan, A. S. Ergun, J. A. Johnson, M. Karaman, U. Demirci, K. Kaviani, T. H.Lee, B. T. Khuri-Yakub. Capacitive micromachined ultrasonic transducers: Next-generation arrays for acoustic imaging? IEEE Trans. Ultrason., Ferroelect., Freq. Contr.,49(11):1596–1610, 2002.

115. S. Park. Principles of Sigma-Delta Modulation for Analog-to-Digital Converters. Moto-rola, 1999. Nota aplikacyjna APR8.

116. M. H. Pedersen, T. X. Misaridis, J. A. Jensen. Clinical evaluation of chirp coded excita-tion in medical ultrasound. Ultrasound Med. Biol., 29(6):895–905, 2003.

117. D. Pellerin, S. Thibault. Practical FPGA Programming in C. Prentice Hall, 2005.

118. Ch. Petzold. Programming Microsoft Windows with C#. Microsoft Press, 2002.

119. Ch. Petzold. Programming Microsoft Windows Forms. Microsoft Press, 2005.

120. M. Pharr, R. Fernando, redaktorzy. GPU Gems 2: programming techniques for high-performance graphics and general-purpose computation. Addison-Wesley, 2005.

121. M. Pollakowski, H. Ermert. Chirp signal matching and signal power optimization inpulse-echo mode ultrasonic nondestructive testing. IEEE Trans. Ultrason., Ferroelect.,Freq. Contr., 41(5):655–659, 1994.

122. T. Powałowski. Rozwój badan ultradzwiekowych sciany naczyn tetniczych człowieka.LI Otwarte Seminarium z Akustyki, Gdansk — Sobieszewo, strony 79–86, 2004.

123. Ch. Poynton. Digital video and HDTV: algorithms and interfaces. Morgan Kaufmann,2003.

124. S. D. Pye, S. R. Wild, W. N. McDicken. Adaptive time gain compensation for ultrasonicimaging. Ultrasound Med. Biol., 18(2):205–212, 1992.

http://rcin.org.pl

Page 182: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

182 Bibliografia

125. N. A. H. K. Rao. Investigation of a pulse compression technique for medical ul-trasound: a simulation study. Medical and Biological Engineering and Computing,32(2):181–188, 1994.

126. N. A. H. K. Rao, S. Mehra, J. Bridges, S. Venkatraman. Experimental point spread func-tion of FM pulse imaging scheme. Ultrasonic Imaging, 17(2):114–141, 1995.

127. D. Redmayne, E. Trelewicz, A. Smith. Understanding the Effect of Clock Jitter on HighSpeed ADCs. Linear Technology. Nota aplikacyjna 1013.

128. J. H. Reed. Software radio: a modern approach to radio engineering. Prentice Hall,2002.

129. W. D. Richard, D. M. Zar, R. Solek. A low-cost B-mode USB ultrasound probe. Ultraso-nic Imaging, 30(1):21–28, 2008.

130. M. A. Richards. Fundamentals of Radar Signal Processing. McGraw-Hill, 2005.

131. J. Richter. Advanced Windows. Microsoft Press, 1997.

132. R. H. Silverman, J. A. Ketterling, D. J. Coleman. High-frequency ultrasonic imaging ofthe anterior segment using an annular array transducer. Ophthalmology, 114(4):816–822, 2007.

133. P. Smith. Little known characteristics of phase noise. Analog Devices. Nota aplikacyjnaAN-741.

134. K. A. Snook, H. U. Chang-Hong, T. R. Shrout, K. K. Shung. High-frequency ultrasoundannular-array imaging. part I: Array design and fabrication. IEEE Trans. Ultrason.,Ferroelect., Freq. Contr., 53(2):300–308, 2006.

135. T. A. Sturman. An evaluation of software defined radio. Raport instytutowy, QinetiQLtd, 2006.

136. T. L. Szabo. Diagnostic ultrasound imaging: inside out. Elsevier, 2004.

137. D. Tarditi, S. Puri, J. Oglesby. Accelerator: using data parallelism to program GPUs forgeneral-purpose uses. Proceedings of the 12th international conference on architectu-ral support for programming languages and operating systems., strony 325–335. ACMPress, 2006.

138. S. Taylor. Intel Integrated Performance Primitives. Intel Press, 2004.

139. Texas Instruments. ADS5474, 2007. Karta katalogowa.

140. Texas Instruments. TMS320C64x/C64x+ DSP CPU and instruction set reference guide,2007. Dokumentacja SPRU732D.

141. D. M. Thiboutot. Dermatological applications of high-frequency ultrasound. Proc.SPIE, Medical Imaging 1999: Ultrasonic Transducer Engineering, wolumen 3664, stro-ny 7–16, 1999.

142. I. Trots. Coded excitation and echoes compression in ultrasonography. Praca doktorska,IPPT PAN, 2005.

http://rcin.org.pl

Page 183: ULTRASONOGRAFIA KODOWANA: TRANSMISJA I KOMPRESJA W … · 50MHz, a głeboko˛ s´c´ obrazowania ograniczona jest do 3–7mm. Metoda transmisji kodowanej, polegajaca˛ na transmisji

Bibliografia 183

143. I. Trots, A. Nowicki, W. Secomski, J. Litniewski. Golay sequences — side-lobe-cancelingcodes for ultrasonography. Archives of Acoustics, 29(1):87–98, 2004.

144. G. L. Turin. An introduction to matched filters. IEEE Trans. Ultrason., Ferroelect., Freq.Contr., 6(3):311–329, 1960.

145. M. Vogt, H. Ermert, S. el Gammal, K. Kaspar, K. Hoffmann, P. Altmeyer. Structuralanalysis of the skin using high frequency, broadband ultrasound in the range from 30to 140 MHz. Proc. IEEE Ultrason. Symp., wolumen 2, strony 1685–1688, 1998.

146. Xilinx. Efficient Shift Registers, LFSR Counters, and Long Pseudo-Random SequenceGenerators, 1996. Nota aplikacyjna XAPP052 (v1.1).

147. Xilinx. Programmable logic design — quick start handbook, 2006.

148. Xilinx. Using Digital Clock Managers (DCMs) in Spartan-3 FPGAs, 2006. Nota aplika-cyjna XAPP462 (v1.1).

149. Xilinx. Spartan-3 FPGA family: complete data sheet, 2007. Dokumentacja DS099.

150. Xilinx. System Generator for DSP. User Guide, 2007. Dokumentacja wersja 9.2.01.

151. Xilinx. Xilinx Power Estimator User Guide, 2007. Dokumentacja UG440.

152. Xilinx. XtremeDSP selection guide, 2007.

153. F. Xiong. Digital Modulation Techniques. Artech House, 2000.

154. R. Youmaran. Automatic measurement of features in ultrasound images of the eye.Praca magisterska, University of Ottawa, 2005.

155. D. M. Zar. A scan conversion engine for standard b-mode ultrasonic imaging. Pracamagisterska, Washington University, 1993.

156. I. Załeska-Zyłka. Cellulit jako problem medyczny. Problemy Higieny i Epidemiologii,89(4):487–491, 2008.

157. T. P. Zielinski. Od teorii do cyfrowego przetwarzania sygnałów. WKiŁ, 2006.

http://rcin.org.pl